Commit c77906dc authored by Cesar Prados's avatar Cesar Prados

everythinggit add .

parent 91f258ea
/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd {1 {vcom -work work -2002 -explicit -vopt /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package std_logic_arith
-- Loading package STD_LOGIC_UNSIGNED
-- Compiling entity linear_block_enc
-- Compiling architecture Behavioral of linear_block_enc
} {} {}} /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd {2 {vcom -work work -2002 -explicit -vopt /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package std_logic_arith
-- Compiling entity linear_block_testb
-- Compiling architecture behaviour of linear_block_testb
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(47): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
} {} {}} /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd {2 {vcom -work work -2002 -explicit -vopt /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd
Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package std_logic_arith
-- Compiling entity linear_block_testb
-- Compiling architecture behaviour of linear_block_testb
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd(47): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
} {} {}}
This diff is collapsed.
This diff is collapsed.
# Current time Tue Mar 13 13:25:40 2012
# ModelSim Stack Trace
# Program = vish
# Id = "10.0c"
# Version = "2011.07"
# Date = "Jul 21 2011"
# Platform = linux
# 0 0x0837f2a2: '<unknown (@0x837f2a2)>'
# 1 0x0837f325: '<unknown (@0x837f325)>'
# 2 0x0837730a: '<unknown (@0x837730a)>'
# 3 0x0840ce61: '<unknown (@0x840ce61)>'
# 4 0x083ff3b0: '<unknown (@0x83ff3b0)>'
# 5 0x083ff5e2: '<unknown (@0x83ff5e2)>'
# 6 0x083a639d: '<unknown (@0x83a639d)>'
# 7 0x083b7919: '<unknown (@0x83b7919)>'
# 8 0x083dd24b: '<unknown (@0x83dd24b)>'
# 9 0x083e2333: '<unknown (@0x83e2333)>'
# 10 0x083b98ac: '<unknown (@0x83b98ac)>'
# 11 0xf6f18ac0: 'Itcl_EvalMemberCode + 0x17c' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 12 0xf6f19895: 'Itcl_ExecMethod + 0xc1' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 13 0xf6f201ed: 'Itcl_EvalArgs + 0x65' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 14 0xf6f1a1ea: 'Itcl_HandleInstance + 0x17e' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 15 0x083b7919: '<unknown (@0x83b7919)>'
# 16 0x083dd24b: '<unknown (@0x83dd24b)>'
# 17 0x083e2333: '<unknown (@0x83e2333)>'
# 18 0x083b98ac: '<unknown (@0x83b98ac)>'
# 19 0xf6f18ac0: 'Itcl_EvalMemberCode + 0x17c' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 20 0xf6f19895: 'Itcl_ExecMethod + 0xc1' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 21 0xf6f201ed: 'Itcl_EvalArgs + 0x65' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 22 0xf6f1a1ea: 'Itcl_HandleInstance + 0x17e' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 23 0x083b7919: '<unknown (@0x83b7919)>'
# 24 0x083b967e: '<unknown (@0x83b967e)>'
# 25 0x083b99b1: '<unknown (@0x83b99b1)>'
# 26 0x083bcf00: '<unknown (@0x83bcf00)>'
# 27 0x083b7919: '<unknown (@0x83b7919)>'
# 28 0x083dd24b: '<unknown (@0x83dd24b)>'
# 29 0x083e2333: '<unknown (@0x83e2333)>'
# 30 0x083b98ac: '<unknown (@0x83b98ac)>'
# 31 0x083bc95d: '<unknown (@0x83bc95d)>'
# 32 0x083b7919: '<unknown (@0x83b7919)>'
# 33 0x083dd24b: '<unknown (@0x83dd24b)>'
# 34 0x083e2333: '<unknown (@0x83e2333)>'
# 35 0x083b98ac: '<unknown (@0x83b98ac)>'
# 36 0x083c697e: '<unknown (@0x83c697e)>'
# 37 0x083b7919: '<unknown (@0x83b7919)>'
# 38 0x083dd24b: '<unknown (@0x83dd24b)>'
# 39 0x083e2333: '<unknown (@0x83e2333)>'
# 40 0x083b98ac: '<unknown (@0x83b98ac)>'
# 41 0xf6f18ac0: 'Itcl_EvalMemberCode + 0x17c' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 42 0xf6f19895: 'Itcl_ExecMethod + 0xc1' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 43 0xf6f201ed: 'Itcl_EvalArgs + 0x65' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 44 0xf6f1a1ea: 'Itcl_HandleInstance + 0x17e' in '/home/bradomyn/modelsim/modeltech/linux/libitcl3.2.so'
# 45 0x083b7919: '<unknown (@0x83b7919)>'
# 46 0x083dd24b: '<unknown (@0x83dd24b)>'
# 47 0x083e2333: '<unknown (@0x83e2333)>'
# 48 0x08407b89: '<unknown (@0x8407b89)>'
# 49 0x083b7919: '<unknown (@0x83b7919)>'
# 50 0x083dd24b: '<unknown (@0x83dd24b)>'
# 51 0x083e2333: '<unknown (@0x83e2333)>'
# 52 0x08407b89: '<unknown (@0x8407b89)>'
# 53 0x083b7919: '<unknown (@0x83b7919)>'
# 54 0x083b8dad: '<unknown (@0x83b8dad)>'
# 55 0x083a49d3: '<unknown (@0x83a49d3)>'
# 56 0x083893db: '<unknown (@0x83893db)>'
# 57 0x0832838b: '<unknown (@0x832838b)>'
# 58 0x08328bc5: '<unknown (@0x8328bc5)>'
# 59 0x083ff3b0: '<unknown (@0x83ff3b0)>'
# 60 0x083ff5e2: '<unknown (@0x83ff5e2)>'
# 61 0x08328c89: '<unknown (@0x8328c89)>'
# 62 0x0832fe5c: '<unknown (@0x832fe5c)>'
# 63 0x08192c62: '<unknown (@0x8192c62)>'
# 64 0x08194c0b: '<unknown (@0x8194c0b)>'
# 65 0xf734647e: '_libc_start_main + 0xee' in '/usr/lib32/libc.so.6'
# End of Stack Trace
This diff is collapsed.
m255
K3
13
cModel Technology
Z0 d/home/bradomyn/modelsim/modeltech/bin
T_opt
Z1 V2<W:m:J^2G8Q8@OI0diP`2
Z2 04 18 9 work linear_block_testb behaviour 1
Z3 =13-bc305be2d7c8-4f5f4bbf-cc58-684
Z4 o-quiet -auto_acc_if_foreign -work work
Z5 n@_opt
Z6 OE;O;10.0c;49
Z7 d/home/bradomyn/modelsim/modeltech/bin
Elinear_block_enc
Z8 w1331645366
Z9 DPx4 ieee 18 std_logic_unsigned 0 22 RYmj;=TK`k=k>D@Cz`zoB3
Z10 DPx4 ieee 15 std_logic_arith 0 22 4`Y?g_lkdn;7UL9IiJck01
Z11 DPx3 std 6 textio 0 22 G^o2zK;Vh4eVdKTVo98653
Z12 DPx4 ieee 14 std_logic_1164 0 22 5=aWaoGZSMWIcH0i^f`XF1
Z13 d/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/enc_test
Z14 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
Z15 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
l0
L6
Z16 V3>8eQFRUB4nm2egU?J68m2
Z17 OE;C;10.0c;49
32
Z18 !s108 1331645368.451480
Z19 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z20 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z21 o-work work -2002 -explicit
Z22 tExplicit 1
Z23 !s100 YO?ON=gO:ni?:2og=NQoP3
Abehavioral
R9
R10
R11
R12
Z24 DEx4 work 16 linear_block_enc 0 22 3>8eQFRUB4nm2egU?J68m2
l41
L14
Z25 V23emLFi:S>_@6dKlZ3kMc3
R17
32
Z26 !s108 1331645236.567934
R19
R20
R21
R22
Z27 !s100 >[XUg@JLSS]ll5_P4Z_UU1
Z28 w1331645212
Elinear_block_testb
Z29 w1331645364
R10
R11
R12
R13
Z30 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd
Z31 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd
l0
L6
Z32 VIhSJGAG6`H[7zOCg0>GkZ0
R17
32
Z33 !s108 1331645368.430874
Z34 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd|
Z35 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd|
R21
R22
Z36 !s100 1ekO1N>[X5V2EZQ<87Abl0
Abehaviour
R10
R11
R12
Z37 DEx4 work 18 linear_block_testb 0 22 IhSJGAG6`H[7zOCg0>GkZ0
l27
L10
Z38 VjJa?h3<m07IVP7V116gH?0
R17
32
R33
R34
R35
R21
R22
Z39 !s100 AFh9]b:4MKhhXAN3]X@Ol3
m255
K3
cModel Technology Builtin Library
13
Z0 d/u/qa/buildsites/10.0c/builds/linux/modeltech
Penv
Z1 OL;C;10.0c;49
32
b1
Z2 OP;C;10.0c;49
Z3 w1311307325
Z4 d$MODEL_TECH/..
Z5 8vhdl_src/std/env.vhd
Z6 Fvhdl_src/std/env.vhd
l0
L1
VkU@g3bh3dSQ6SKX7=V`LE3
Z7 OE;C;10.0c;49
Z8 !s108 1311310228.082315
Z9 !s90 -work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/env.vhd|
Z10 !s107 vhdl_src/std/env.vhd|
Z11 o-work std -dirpath {$MODEL_TECH/..}
Z12 tExplicit 1
!s100 ^`JWjc^Kdz?i>c86BhQN^2
Bbody
DPx4 work 3 env 0 22 kU@g3bh3dSQ6SKX7=V`LE3
R1
32
R2
l0
L11
VaiKaS=JalB`YEWcXd=SOe0
R7
R8
R9
R10
R11
R12
nbody
!s100 ?ll]];KZ37Z]nCh<Bk7;Y0
Pstandard
R1
33
R2
R3
R4
8vhdl_src/std/standard.vhd
Fvhdl_src/std/standard.vhd
l0
L8
Vm1:nJELOz1XOlHZkCN8_C2
R7
o-s -2008 -work std -dirpath {$MODEL_TECH/..}
R12
!s100 3<Nlb7hQ^9aB_=``ALf1Z3
!s108 1311310227.914884
!s90 -s|-2008|-work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/standard.vhd|
!s107 vhdl_src/std/standard.vhd|
Ptextio
R1
33
b1
R2
R3
R4
Z13 8vhdl_src/std/textio.vhd
Z14 Fvhdl_src/std/textio.vhd
l0
L21
VG^o2zK;Vh4eVdKTVo98653
R7
Z15 !s108 1311310227.969926
Z16 !s90 -2008|-work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/textio.vhd|
Z17 !s107 vhdl_src/std/textio.vhd|
Z18 o-2008 -work std -dirpath {$MODEL_TECH/..}
R12
!s100 `J?^eSHb6TT?Cg2VK0^[M1
Bbody
DPx4 work 6 textio 0 22 G^o2zK;Vh4eVdKTVo98653
R1
33
R2
l0
L171
VVUN;AnXNPU<mD14Dzbk@S0
R7
R15
R16
R17
R18
R12
nbody
!s100 3WRhLC<0_NTC_c:K187=O1
m255
K3
13
cModel Technology
Z0 d/home/bradomyn/modelsim/modeltech/bin
T_opt
Z1 V2<W:m:J^2G8Q8@OI0diP`2
Z2 04 18 9 work linear_block_testb behaviour 1
Z3 =13-bc305be2d7c8-4f5f4bbf-cc58-684
Z4 o-quiet -auto_acc_if_foreign -work work
Z5 n@_opt
Z6 OE;O;10.0c;49
Z7 d/home/bradomyn/modelsim/modeltech/bin
T_opt1
Z8 Vz=^_gK<IoY_HX:YOh>9]Q1
Z9 04 24 9 work linear_block_performance behaviour 1
Z10 =14-bc305be2d7c8-4f5f7d72-97b5c-83f
R4
Z11 n@_opt1
R6
R7
Elinear_block_enc
Z12 w1331645366
Z13 DPx4 ieee 18 std_logic_unsigned 0 22 RYmj;=TK`k=k>D@Cz`zoB3
Z14 DPx4 ieee 15 std_logic_arith 0 22 4`Y?g_lkdn;7UL9IiJck01
Z15 DPx3 std 6 textio 0 22 G^o2zK;Vh4eVdKTVo98653
Z16 DPx4 ieee 14 std_logic_1164 0 22 5=aWaoGZSMWIcH0i^f`XF1
Z17 d/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/enc_test
Z18 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
Z19 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
l0
L6
Z20 V3>8eQFRUB4nm2egU?J68m2
Z21 OE;C;10.0c;49
32
Z22 !s108 1331658095.504470
Z23 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z24 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z25 o-work work -2002 -explicit
Z26 tExplicit 1
Z27 !s100 YO?ON=gO:ni?:2og=NQoP3
Abehavioral
R13
R14
R15
R16
Z28 DEx4 work 16 linear_block_enc 0 22 3>8eQFRUB4nm2egU?J68m2
l41
L14
Z29 V23emLFi:S>_@6dKlZ3kMc3
R21
32
R22
R23
R24
R25
R26
Z30 !s100 5:bMf]<[^Yn1CeknBZjfd2
Elinear_block_performance
Z31 w1331658094
R14
R15
R16
R17
Z32 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
Z33 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
l0
L6
Z34 V=83cc3L`FO9>fjIeeI=I_2
R21
32
Z35 !s108 1331658095.520259
Z36 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd|
Z37 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd|
R25
R26
Z38 !s100 2LP6=7HYAE5lWjXkhbf^@1
Abehaviour
R14
R15
R16
Z39 DEx4 work 24 linear_block_performance 0 22 =83cc3L`FO9>fjIeeI=I_2
l34
L10
Z40 VleglnZAgXjE7]i`ke`NBl3
R21
32
R35
R36
R37
R25
R26
Z41 !s100 B:LQlX6l>ZA?I_eL36@T?1
Elinear_block_testb
Z42 w1331645364
R14
R15
R16
R17
Z43 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd
Z44 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd
l0
L6
Z45 VIhSJGAG6`H[7zOCg0>GkZ0
R21
32
Z46 !s108 1331658095.487919
Z47 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd|
Z48 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_testb.vhd|
R25
R26
Z49 !s100 1ekO1N>[X5V2EZQ<87Abl0
Abehaviour
R14
R15
R16
Z50 DEx4 work 18 linear_block_testb 0 22 IhSJGAG6`H[7zOCg0>GkZ0
l27
L10
Z51 VjJa?h3<m07IVP7V116gH?0
R21
32
R46
R47
R48
R25
R26
Z52 !s100 AFh9]b:4MKhhXAN3]X@Ol3
This diff is collapsed.
m255
K3
cModel Technology Builtin Library
13
Z0 d/u/qa/buildsites/10.0c/builds/linux/modeltech
Penv
Z1 OL;C;10.0c;49
32
b1
Z2 OP;C;10.0c;49
Z3 w1311307325
Z4 d$MODEL_TECH/..
Z5 8vhdl_src/std/env.vhd
Z6 Fvhdl_src/std/env.vhd
l0
L1
VkU@g3bh3dSQ6SKX7=V`LE3
Z7 OE;C;10.0c;49
Z8 !s108 1311310228.082315
Z9 !s90 -work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/env.vhd|
Z10 !s107 vhdl_src/std/env.vhd|
Z11 o-work std -dirpath {$MODEL_TECH/..}
Z12 tExplicit 1
!s100 ^`JWjc^Kdz?i>c86BhQN^2
Bbody
DPx4 work 3 env 0 22 kU@g3bh3dSQ6SKX7=V`LE3
R1
32
R2
l0
L11
VaiKaS=JalB`YEWcXd=SOe0
R7
R8
R9
R10
R11
R12
nbody
!s100 ?ll]];KZ37Z]nCh<Bk7;Y0
Pstandard
R1
33
R2
R3
R4
8vhdl_src/std/standard.vhd
Fvhdl_src/std/standard.vhd
l0
L8
Vm1:nJELOz1XOlHZkCN8_C2
R7
o-s -2008 -work std -dirpath {$MODEL_TECH/..}
R12
!s100 3<Nlb7hQ^9aB_=``ALf1Z3
!s108 1311310227.914884
!s90 -s|-2008|-work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/standard.vhd|
!s107 vhdl_src/std/standard.vhd|
Ptextio
R1
33
b1
R2
R3
R4
Z13 8vhdl_src/std/textio.vhd
Z14 Fvhdl_src/std/textio.vhd
l0
L21
VG^o2zK;Vh4eVdKTVo98653
R7
Z15 !s108 1311310227.969926
Z16 !s90 -2008|-work|std|-dirpath|$MODEL_TECH/..|vhdl_src/std/textio.vhd|
Z17 !s107 vhdl_src/std/textio.vhd|
Z18 o-2008 -work std -dirpath {$MODEL_TECH/..}
R12
!s100 `J?^eSHb6TT?Cg2VK0^[M1
Bbody
DPx4 work 6 textio 0 22 G^o2zK;Vh4eVdKTVo98653
R1
33
R2
l0
L171
VVUN;AnXNPU<mD14Dzbk@S0
R7
R15
R16
R17
R18
R12
nbody
!s100 3WRhLC<0_NTC_c:K187=O1
m255
K3
13
cModel Technology
Z0 d/home/bradomyn/modelsim/modeltech/bin
T_opt
V2<W:m:J^2G8Q8@OI0diP`2
04 18 9 work linear_block_testb behaviour 1
=13-bc305be2d7c8-4f5f4bbf-cc58-684
Z1 o-quiet -auto_acc_if_foreign -work work
n@_opt
Z2 OE;O;10.0c;49
Z3 d/home/bradomyn/modelsim/modeltech/bin
T_opt1
Vz=^_gK<IoY_HX:YOh>9]Q1
04 24 9 work linear_block_performance behaviour 1
=14-bc305be2d7c8-4f5f7d72-97b5c-83f
R1
n@_opt1
R2
R3
Elinear_block_enc
Z4 w1331645366
Z5 DPx4 ieee 18 std_logic_unsigned 0 22 RYmj;=TK`k=k>D@Cz`zoB3
Z6 DPx4 ieee 15 std_logic_arith 0 22 4`Y?g_lkdn;7UL9IiJck01
Z7 DPx3 std 6 textio 0 22 G^o2zK;Vh4eVdKTVo98653
Z8 DPx4 ieee 14 std_logic_1164 0 22 5=aWaoGZSMWIcH0i^f`XF1
Z9 d/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/enc_test
Z10 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
Z11 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
l0
L6
V3>8eQFRUB4nm2egU?J68m2
Z12 OE;C;10.0c;49
32
Z13 !s108 1331658159.845637
Z14 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z15 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd|
Z16 o-work work -2002 -explicit
Z17 tExplicit 1
!s100 YO?ON=gO:ni?:2og=NQoP3
Abehavioral
R5
R6
R7
R8
DEx4 work 16 linear_block_enc 0 22 3>8eQFRUB4nm2egU?J68m2
l41
L14
V23emLFi:S>_@6dKlZ3kMc3
R12
32
R13
R14
R15
R16
R17
!s100 5:bMf]<[^Yn1CeknBZjfd2
Elinear_block_performance
Z18 w1331658094
R6
R7
R8
R9
Z19 8/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
Z20 F/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
l0
L6
V=83cc3L`FO9>fjIeeI=I_2
R12
32
Z21 !s108 1331658095.520259
Z22 !s90 -reportprogress|300|-work|work|-2002|-explicit|-vopt|/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd|
Z23 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd|
R16
R17
!s100 2LP6=7HYAE5lWjXkhbf^@1
Abehaviour
R6
R7
R8
DEx4 work 24 linear_block_performance 0 22 =83cc3L`FO9>fjIeeI=I_2
l34
L10
VleglnZAgXjE7]i`ke`NBl3
R12
32
R21
R22
R23
R16
R17
!s100 B:LQlX6l>ZA?I_eL36@T?1
Elinear_block_testb
Z24 w1331658288
R6
R7
R8
R9
R19
R20
l0
L6
VIhSJGAG6`H[7zOCg0>GkZ0
!s100 1ekO1N>[X5V2EZQ<87Abl0
R12
32
Z25 !s108 1331658364.072241
R22
Z26 !s107 /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd|
R16
R17
Abehaviour
R6
R7
R8
DEx4 work 18 linear_block_testb 0 22 IhSJGAG6`H[7zOCg0>GkZ0
l27
L10
Z27 VjJa?h3<m07IVP7V116gH?0
!s100 AFh9]b:4MKhhXAN3]X@Ol3
R12
32
R25
R22
R26
R16
R17
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity linear_block_performance is
end linear_block_performance;
architecture behaviour of linear_block_performance is
signal clk :std_logic := '0';
signal data :std_logic_vector (11 downto 0) := (others => '0');
signal word :std_logic_vector (23 downto 0) := (others => '0');
signal frame:std_logic_vector (120000 downto 0) := (others => '0');
signal data_1,data_2,data_3,data_4,data_5 :std_logic_vector(11 downto 0);
signal word_1,word_2,word_3,word_4, word_5 :std_logic_vector(23 downto 0);
shared variable count : integer := 0;
constant PERIOD : time := 8 ns;
constant payload: integer := 1;
constant numEncoder : integer := 5;
constant sizeword : integer := 12;
constant lengthframe: integer := 6000;
constant zeros : std_logic_vector(23 downto 0) := (others => '0');
component linear_block_enc
port(
clk : in std_logic;
data_in: in std_logic_vector(11 downto 0);
encoded_out:out std_logic_vector(23 downto 0)
);
end component;
begin
encoder_1: linear_block_enc
port map(
clk => clk,
data_in => data_1,
encoded_out => word_1
);
encoder_2: linear_block_enc
port map(
clk => clk,
data_in => data_2,
encoded_out => word_2
);
encoder_3: linear_block_enc
port map(
clk => clk,
data_in => data_3,
encoded_out => word_3
);
encoder_4: linear_block_enc
port map(
clk => clk,
data_in => data_4,
encoded_out => word_4
);
encoder_5: linear_block_enc
port map(
clk => clk,
data_in => data_5,
encoded_out => word_5
);
clk_proc: process
begin
clk <= '1';
wait for PERIOD/2;
clk <= '0';
wait for PERIOD/2;
end process;
data_proc: process(clk)
begin
if(count < lengthframe) then
data_1 <= to_stdlogicvector(x"111");
data_2 <= to_stdlogicvector(x"111");
data_3 <= to_stdlogicvector(x"111");
data_4 <= to_stdlogicvector(x"111");
data_5 <= to_stdlogicvector(x"111");
count := count + (numEncoder*sizeword);
else
data_1 <= zeros(11 downto 0);
data_2 <= zeros(11 downto 0);
data_3 <= zeros(11 downto 0);
data_4 <= zeros(11 downto 0);
data_5 <= zeros(11 downto 0);
end if;
end process;
frame_proc: process(clk)
begin
if(count < lengthframe) then
frame(count*2-1 downto (count*2 - (numEncoder*sizeword))) <=
word_5 & word_4 & word_3 & word_2 & word_1;
else
frame(count*2-1 downto (count*2 - (numEncoder*sizeword))) <=
zeros & zeros & zeros & zeros & zeros;
end if;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity linear_block_testb is
end linear_block_testb;
architecture behaviour of linear_block_testb is
signal clk :std_logic := '0';
signal data :std_logic_vector (11 downto 0) := (others => '0');
signal word :std_logic_vector (23 downto 0) := (others => '0');
constant PERIOD : time := 8 ns;
component linear_block_enc
port(
clk : in std_logic;
data_in: in std_logic_vector(11 downto 0);
encoded_out:out std_logic_vector(23 downto 0)
);
end component;
begin
encoder: linear_block_enc
port map(
clk => clk,
data_in => data,
encoded_out => word
);
clk_proc: process
begin
clk <= '1';
wait for PERIOD/2;
clk <= '0';
wait for PERIOD/2;
end process;
data_proc: process
begin
wait for 16 ns;
data <= to_stdlogicvector(x"555");
wait;
end process;
end;
/home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd {1 {vcom -work work -2002 -explicit -vopt /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/syn/linear_block_encoder.vhd
Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package std_logic_arith
-- Loading package STD_LOGIC_UNSIGNED
-- Compiling entity linear_block_enc
-- Compiling architecture Behavioral of linear_block_enc
} {} {}} /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd {2 {vcom -work work -2002 -explicit -vopt /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd
Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package std_logic_arith
-- Compiling entity linear_block_performance
-- Compiling architecture behaviour of linear_block_performance
** Warning: /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(18): (vcom-1236) Shared variables must be of a protected type.
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(80): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(81): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(82): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(83): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
** Warning: [10] /home/bradomyn/project/wrn-robustness/hdl/linear_block_code/sim/linear_block_performance.vhd(84): (vcom-1143) Ambiguous parameter type to function 'To_StdLogicVector'.
Expression is illegal VHDL-1993 but legal VHDL-1987.
Suggest use of qualified expressions or VHDL-1987.
} {} {}}
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment