Commit 639eef6a authored by Dimitris Lampridis's avatar Dimitris Lampridis

sw: minor typo fix

parent c71f7adf
......@@ -90,7 +90,7 @@ static void adcout_drop_trigger(struct wrtd_adcout_dev *dev,
/* Drop the pulse */
adcout_out_queue_pop(q);
/* Disarm the FD output */
/* Disarm the ADC output */
adcout_writel(dev, 0, ALT_TRIGIN_CTRL);
wrtd_log(WRTD_LOG_MSG_EV_DISCARDED, reason, ev, now);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment