Commit fe755dd9 authored by Tristan Gingold's avatar Tristan Gingold

svec_adc_top: adjust addresses.

parent 80a9874b
......@@ -44,10 +44,6 @@ use work.wr_board_pkg.all;
use work.fmc_adc_mezzanine_pkg.all;
use work.ddr3_ctrl_pkg.all;
library unisim;
use unisim.vcomponents.all;
entity svec_adc_top is
generic (
g_WR_DPRAM_INITF : string := "../../../../dependencies/wr-cores/bin/wrpc/wrc_phy8.bram";
......@@ -337,9 +333,12 @@ architecture arch of svec_adc_top is
-- Primary wishbone crossbar layout
constant c_WB_LAYOUT : t_sdb_record_array(c_NUM_WB_SLAVES + 1 downto 0) := (
c_WB_SLAVE_VIC => f_sdb_embed_device(c_XWB_VIC_SDB, x"00002000"),
c_WB_SLAVE_FMC0_ADC => f_sdb_embed_bridge(c_FMC0_BRIDGE_SDB, x"00010000"),
c_WB_SLAVE_FMC0_DDR_ADR => f_sdb_embed_device(c_WB_DDR0_ADR_SDB, x"00010000" or x"4000"),
c_WB_SLAVE_FMC0_DDR_DAT => f_sdb_embed_device(c_WB_DDR0_DAT_SDB, x"00010000" or x"5000"),
c_WB_SLAVE_FMC0_ADC => f_sdb_embed_bridge(c_FMC0_BRIDGE_SDB,
x"00010000" or x"2000"),
c_WB_SLAVE_FMC0_DDR_ADR => f_sdb_embed_device(c_WB_DDR0_ADR_SDB,
x"00010000" or x"4000"),
c_WB_SLAVE_FMC0_DDR_DAT => f_sdb_embed_device(c_WB_DDR0_DAT_SDB,
x"00010000" or x"5000"),
c_WB_SLAVE_MT => f_sdb_embed_device(c_MOCK_TURTLE_SDB, x"00020000"),
c_WB_SLAVE_WRC => f_sdb_embed_bridge(c_wrc_bridge_sdb, x"00040000"),
......@@ -1163,10 +1162,10 @@ begin -- architecture arch
rst_n_i => rst_sys_62m5_n,
slave_i(0) => fmc_dp_wb_out(0),
slave_o(0) => fmc_dp_wb_in(0),
master_i(0) => wb_adc0_trigin_slave_out,
master_i(1) => wb_adc0_trigout_slave_out,
master_o(0) => wb_adc0_trigin_slave_in,
master_i(0) => wb_adc0_trigin_slave_out,
master_o(1) => wb_adc0_trigout_slave_in);
master_o(0) => wb_adc0_trigin_slave_in);
-----------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment