Commit 39df4b9e authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

trigger pipeline

parent 9f32926a
......@@ -343,7 +343,6 @@ architecture rtl of spec_masterfip_mt is
signal spec_led : std_logic_vector(7 downto 0);
signal fd_txd : std_logic;
--=================================================================================================
-- architecture begin
--=================================================================================================
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment