Commit e771a6d8 authored by Jean-Paul Ricaud's avatar Jean-Paul Ricaud

VHDL : corrected a bug on clock padding LED function

 On branch development

	modified:   fpga/TimEX3/TimEX3_eeprom.mcs
	modified:   fpga/sources/src_clkpadding/clkpadding_top.vhdl
parent b0272366
This diff is collapsed.
......@@ -21,7 +21,7 @@
-- File : clkpadding_top.vhdl
-- Revision : x.x.x
-- Created : July 19, 2014
-- Updated : October 02, 2018
-- Updated : October 04, 2018
--------------------------------------------------------------------------------
-- Author : Jean-Paul Ricaud
-- Organization : Synchrotron Soleil
......@@ -130,7 +130,7 @@ architecture rtl_clkPADDING_top of clkPADDING_top is
p_clkPADDING_outPECL(4 downto 0) <= "00000";
p_clkPADDING_led(0) <= s_clkPADDING_LED_1khHz or s_clkPADDING_LED_5khHz; -- red LED
p_clkPADDING_led(0) <= s_clkPADDING_LED_1khHz and s_clkPADDING_LED_5khHz; -- red LED
p_clkPADDING_led(1) <= '1'; -- green LED ON
end architecture rtl_clkPADDING_top;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment