• Andela Kostic's avatar
    Testbench wrc_core now works with Riviera-PRO · db46b00a
    Andela Kostic authored
    The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
    In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
    To run the simulation with Riviera, use run_riv.do.
    To run the simulation with ModelSim, use run.do.
    db46b00a
Name
Last commit
Last update
..
dac Loading commit data...
mini_bone Loading commit data...
nic_bw_throttling Loading commit data...
top_level Loading commit data...
wr_endpoint Loading commit data...
wr_ep_prbs_checker Loading commit data...
wr_fabric_reg Loading commit data...
wr_minic Loading commit data...
wr_minic_with_ep Loading commit data...
wr_streamers Loading commit data...
wrc_core Loading commit data...
xwrf_loopback Loading commit data...
xwrf_mux Loading commit data...
.gitignore Loading commit data...
Makefile Loading commit data...