Solve naming conflict with demos

parent 2db014fa
...@@ -3,7 +3,7 @@ use ieee.std_logic_1164.all; ...@@ -3,7 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all; use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all; use ieee.std_logic_unsigned.all;
entity asyncart_demo is entity asyncart_demo_1d is
port ( port (
--GCLK : in std_logic; -- 100 MHz input clock --GCLK : in std_logic; -- 100 MHz input clock
BTNC : in std_logic; -- 100 MHz input clock BTNC : in std_logic; -- 100 MHz input clock
...@@ -20,7 +20,7 @@ entity asyncart_demo is ...@@ -20,7 +20,7 @@ entity asyncart_demo is
); );
end entity; end entity;
architecture demo_hw of asyncart_demo is architecture demo_hw of asyncart_demo_1d is
component asyncart_source component asyncart_source
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment