Commit 0e4b7c73 authored by Lucas Russo's avatar Lucas Russo

top/afc_v3/*: fix syntax typos

parent e700acd7
......@@ -1008,8 +1008,8 @@ begin
-----------------------------------------
-- User LEDs
-----------------------------------------
leds_o => leds_o,
leds_o => leds_o
);
end component
end component;
end rtl;
......@@ -742,7 +742,7 @@ architecture rtl of dbe_bpm2 is
-----------------------------------------
leds_o : out std_logic_vector(2 downto 0)
);
end component
end component;
begin
......@@ -1024,7 +1024,7 @@ begin
-----------------------------------------
-- User LEDs
-----------------------------------------
leds_o => leds_o,
leds_o => leds_o
);
end rtl;
......@@ -1528,7 +1528,7 @@ begin
-- Insert more FMC ADC boards here
assert (g_fmc_adc_type = "FMC130M" or g_fmc_adc_type = "FMC250M")
report "[dbe_bpm_gen] FMC ADC board must be either \"FMC130M\" or \"FMC250M\""
report "[dbe_bpm_gen] FMC ADC board must be either \'FMC130M\' or \'FMC250M\'"
severity Failure;
gen_fmc130 : if (g_fmc_adc_type = "FMC130M") generate
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment