Commit 0f0b16a6 authored by Lucas Russo's avatar Lucas Russo

modules/*/wb_dbe_periph/*: fix missing dbe_common package

parent 008ad4b4
......@@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dbe_common_pkg.all;
use work.dbe_wishbone_pkg.all;
use work.wishbone_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment