Commit 7ac046d9 authored by Lucas Russo's avatar Lucas Russo

modules/*/wb_acq_core/*: move UI constants to acq_core_pkg

parent 82ec4645
......@@ -33,6 +33,10 @@ package acq_core_pkg is
constant c_ddr3_ui_diff_threshold : natural := 3;
-- UI Commands
constant c_ui_cmd_write : std_logic_vector(2 downto 0) := "000";
constant c_ui_cmd_read : std_logic_vector(2 downto 0) := "001";
-- ADC + TBT + FOFB + MONIT + MONIT_1
constant c_acq_num_channels : natural := 5;
......
......@@ -132,10 +132,6 @@ architecture rtl of acq_ddr3_ui_write is
constant c_pkt_size_width : natural := 32;
constant c_addr_cnt_width : natural := c_max_ddr_payload_ratio_log2;
-- UI Commands
constant c_ui_cmd_write : std_logic_vector(2 downto 0) := "000";
constant c_ui_cmd_read : std_logic_vector(2 downto 0) := "001";
-- Constants for data + mask aggregate signal
constant c_mask_low : natural := 0;
constant c_mask_high : natural := c_ddr_mask_width + c_mask_low -1;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment