Commit a67f12d2 authored by Lucas Russo's avatar Lucas Russo

hdl/platform/artix7/chipscope/*: add chipscope files

parent aeded434
files = [
"icon_4_port/chipscope_icon_4_port.ngc",
"icon_4_port/chipscope_icon_4_port.vhd",
"icon_6_port/chipscope_icon_6_port.ngc",
"icon_6_port/chipscope_icon_6_port.vhd",
"ila/chipscope_ila.ngc",
"ila/chipscope_ila.vhd"]
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3af7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0122?72<:9:;86<>0134?60=AGE^X7M@NUPLH5:4=3:5=:5<6;KMKPR=KFD_^BB><2794;703:<1ECAZT;ALJQTHD;6897>116902?OIG\^1OB@[RNN0863=87;0895;0127?1068813866?0133?<7698;:=5>?012342=E[JKEI?:4BTDD<>DR[VCEJB?4C69@EURRZL80OH?=;BJFGNYKAJOE_HQ[YQG1?FO33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE`8GJHSZFF;0=0l;BMMPWIK85;;2n5LOOVQKI6;984h7NAATSMO49756j1HCCZ]OM2?568d3JEEX_AC0=37:f=DGG^YCA>314<`?FII\[EG<1?9>b9@KKRUGE:7=:0l;BMMPWIK85;32n5LOOVQKI6;904i7NAATSMO4979k2IDBY\@L1>14;e<KFD_^BB?<33=g>EHF]XD@=2=2?a8GJHSZFF;0?=1c:ALJQTHD96983m4CNLWVJJ74;?5o6M@NUPLH5:5>7i0OB@[RNN38719k2IDBY\@L1>1<;e<KFD_^BB?<3;=f>EHF]XD@=2=>b9@KKRUGE:7?=0l;BMMPWIK859:2n5LOOVQKI6;;;4h7NAATSMO49546j1HCCZ]OM2?718b3JEEX_AC0=16>58d3JEEX_AC0=16:g=DGG^YCA>33?`8GJHSZFF;090m;BMMPWIK85?5n6M@NUPLH5:16k1HCCZ]OM2?3;d<KFD_^BB?<9<a?FII\[EG<171b:ALJQTHD86;2n5LOOVQKI7;994h7NAATSMO59766j1HCCZ]OM3?578d3JEEX_AC1=30:f=DGG^YCA?315<`?FII\[EG=1?:>b9@KKRUGE;7=;0l;BMMPWIK95;<2n5LOOVQKI7;914h7NAATSMO597>6k1HCCZ]OM3?5;e<KFD_^BB><32=g>EHF]XD@<2=1?a8GJHSZFF:0?<1c:ALJQTHD869?3m4CNLWVJJ64;>5o6M@NUPLH4:5=7i0OB@[RNN28709k2IDBY\@L0>13;e<KFD_^BB><3:=g>EHF]XD@<2=9?`8GJHSZFF:0?0l;BMMPWIK959;2n5LOOVQKI7;;84h7NAATSMO59556j1HCCZ]OM3?768d3JEEX_AC1=17:`=DGG^YCA?33483:f=DGG^YCA?334<a?FII\[EG=1=1b:ALJQTHD86?2o5LOOVQKI7;=7h0OB@[RNN2838e3JEEX_AC1=5=f>EHF]XD@<27>c9@KKRUGE;753l4CNLWVJJ5494h7NAATSMO69776j1HCCZ]OM0?548d3JEEX_AC2=31:f=DGG^YCA<312<`?FII\[EG>1?;>b9@KKRUGE87=80l;BMMPWIK:5;=2n5LOOVQKI4;9>4h7NAATSMO697?6j1HCCZ]OM0?5<8e3JEEX_AC2=3=g>EHF]XD@?2=0?a8GJHSZFF90??1c:ALJQTHD;69>3m4CNLWVJJ54;95o6M@NUPLH7:5<7i0OB@[RNN18739k2IDBY\@L3>12;e<KFD_^BB=<35=g>EHF]XD@?2=8?a8GJHSZFF90?71b:ALJQTHD;692n5LOOVQKI4;;94h7NAATSMO69566j1HCCZ]OM0?778d3JEEX_AC2=10:f=DGG^YCA<335<f?FII\[EG>1=::1<`?FII\[EG>1=:>c9@KKRUGE87?3l4CNLWVJJ54=4i7NAATSMO6939j2IDBY\@L3>5:g=DGG^YCA<37?`8GJHSZFF9050m;BMMPWIK:535n6M@NUPLH6:76j1HCCZ]OM1?558d3JEEX_AC3=32:f=DGG^YCA=313<`?FII\[EG?1?<>b9@KKRUGE97=90l;BMMPWIK;5;>2n5LOOVQKI5;9?4h7NAATSMO79706j1HCCZ]OM1?5=8d3JEEX_AC3=3::g=DGG^YCA=31?a8GJHSZFF80?>1c:ALJQTHD:69=3m4CNLWVJJ44;85o6M@NUPLH6:5;7i0OB@[RNN08729k2IDBY\@L2>11;e<KFD_^BB<<34=g>EHF]XD@>2=7?a8GJHSZFF80?61c:ALJQTHD:6953l4CNLWVJJ44;4h7NAATSMO79576j1HCCZ]OM1?748d3JEEX_AC3=11:f=DGG^YCA=332<`?FII\[EG?1=;>d9@KKRUGE97?84?>b9@KKRUGE97?80m;BMMPWIK;595n6M@NUPLH6:36k1HCCZ]OM1?1;d<KFD_^BB<<7<a?FII\[EG?191b:ALJQTHD:632o5LOOVQKI5;17;?7NA]E^EFJ@TF\@EESD@IO69@V@GSMM;0H?5KIb9GMVGEDLUAXLI;;EP@O1=B>9;>7KOCSD33?CB0=K38=HM94@:146=ALJ>0JIMJ3:DGA6=AL[>0JI\J1:E0?BHC92C97D>=;H31?L453@997D:=;H7:?LHN\Z^JXH=4IOTb?ORFOVICMD@=;MK1?II13EEHGHJ8;MMDMFGK<2F^X<:4LTV10>JR\=>0@XZ97:OV\F_XD<1FYUH:5:OV\C053G:?7C?7259M5=533G;3895A2057?K460=1E><7;;O0661=I:<9?7C<:459M6=133G83495A29;4?KCS_FX@:6@@CMKS3>HHELXLI<5@169LTQOJASOT\_GBIUKUAVYIOJ;0\:5_.7:g[I2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA<=WCVBGYY@B6:RP@JHB92[:7_;4RDQFP2=U]G^N_Y?4S29PAI3<[ACMX<?4SIPFCZC_\[KHXR@HCd9PLWC@WDCES^GUE79PKPTDM?1XXLZZS29WGN5<\MC87YJ@3:VNW1=SQYO8h6[?/T]JGJH(AVSN_RL\C@L-QZDT'@UJ;#G\YO,J[PUBWZEMXO]LAO]FU5(RWKY=86[?/T]JGJH(AVSN_RL\C@L-QZDT'@U^_HQ\OGVAWFGIWL[;"DQZSD][WQYSKBU\C_ENRNWM@ZCV9'_TENAA_CQ@EKYE]OM$YRLZFF05?P6(]VCHCC!F_XGP[GUDIG$^SO] iEP@OZJHKHF9n6[?/T]JGJH(]VIFH"IPT@PDAQ]68T$BSCK?.T]WEWAB\;h0Y=!Z_HALJ*SXKDN$KRZNRFGW_47Z&@UEI= Z_UCQC@R5j2_;#XQFCNL,QZEJL&MTXL\HEUY26X(NWGO;"XQ[ASEFP7d<]9%^SDM@N.W\GHB(OV^J^JK[[01^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>4\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<;R.H]FU5(RW]KYKHZ=a:W3+PYNKFD$YRMBD.E\PDT@M]Q=Q#GPND2-QZRFZNO_>l5Z0.W\MFII'\UHAI!H_UCQC@R\?T$BSCK?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_=[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ;^*LYIM9$^SYO]GDV1g>S7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[8_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@RD]J@ZUBD&C?"KGT0\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>0\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>1\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>2\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>3\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>4\,V[ISS:m1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>5\,V[ISS:j1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU>]/W\HPR5k2_;#XQFCNL,QZEJL&_TOB\J_HF\W@J(A=$MEV<R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC_KPIE]PAI)N<'LBW>S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP8P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q>Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR<V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS>W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\0T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]>U'_T@XZ>7:W3+PYNKFD$YRMBD.W\W@JXf8h0Y=!Z_HALJ*SXKDN$YRZNRFGW[FC6>2_;#XQFCNL,QZEJL&cXIAQa1c9V4*SXAJEE#XQLME-jPDT@M]6:<3?m;T2,QZODGG%^SNCK/hVBVBCS48;5=l5Z0.W\MFII'\UHAI!fT@PDAQ:?68k0Y=!Z_HALJ*SXKDN$eYO]GDV?=;7f3\:$YRGLOO-V[FKC'`^J^JK[_BG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR:V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS9W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\99W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\99W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\98W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\98W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9;W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9;W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9:W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9:W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9=W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9=W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9<W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\9T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]6U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^4Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_7[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX7X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY0Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ6^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[5_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT5\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU:]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV8R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW;S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP;P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ<Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR2V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS1W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\1T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]>U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^6Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_5[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX55[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX55[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX54[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX54[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX57[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX57[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX56[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX56[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX51[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX51[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX50[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX50[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX5X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY2Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ0^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[3_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT3\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU<]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV:R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW9S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP9P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ>Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR<V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS?W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\?T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]0U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^>Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_=[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX=X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY:Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ2^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[1_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT11_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT11_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT10_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT10_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT13_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT13_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT12_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT12_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT15_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT15_-QZJDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT14_-QZNDM;n0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT14_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT1\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU>]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV<R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW?S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP?P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ8Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR>V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS=W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U=]/D\GHB\=T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]2U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W?S!F^AN@^0Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_3[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX3X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY4Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ:^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[9_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT9\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU6]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_6[)NVIFHV>R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX7X(AWJGOW=S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP==S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP==S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=<S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=<S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=?S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=?S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=>S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=>S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=9S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=9S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=8S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=8S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ1^*CYDEMQ:Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[2_-BZEJLR8V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS;W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\;T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V=R.G]@IA]4U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^2Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP?P I_BOG_1[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ8Q#HPCLFX1X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR9V"KQLMEY6Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS:W%JRMBDZ4^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\;T$MSNCK[7_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]4U'LTO@JT7\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^5Z&OUHAIU8]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_6[)NVIFHV6R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX7X(AWJGOW5S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP5P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ1^*CYDEMQ2Q#[PLBG2a>S7'\UBOB@ U^AWVIYH]]%^SNCKGSR35`=R8&_TENAA/T]@PWJXG\^$YRMBDFPS577<]9%^SDM@N.W\GQTKWF__#XQKAUC\RDJNL;20Y=!Z_HALJ*SXK]XGSB[[/hALIHGILVMY\R]JL=2=6==R8&_TENAA/T]@PWJXG\^$eNABM@LG[BTWWZOG0<0=0:W3+PYNKFD$YRM[RM]LQQ)nLH^JS[OCIE02?P6(]VCHCC!Z_RVBP*@X[]K_W=S!U^QWEQ463\:$YRGLOO-V[VRF\&LT_YO[[0_-QZUSI]8:7X> U^K@KK)RWZ^JX"HPSUCW_7[)]VY_MY<>;T2,QZODGG%^S^ZNT.D\WQGSS:W%YR][AU3b?P6(]VCHCC!Z_RVBP*SXKDNL^]>>d:W3+PYNKFD$YR][AU-V[AGSIV\J@DJ>a:W3+PYNKFD$YR][AU-V[VRF\JGO=n5Z0.W\MFII'\UXXLZ U^QWEQEJLVd9=6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&IB0<0=1:W3+PYNKFD$YR][AU-V[VRF\VIEX"MF<3<15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ868592_;#XQFCNL,QZUSI]%^S^ZNT^AMP*EN4=49=6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&IB080=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"J30?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/E>2:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@949:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4:49<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N783<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2:>3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ2^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ;Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX4X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU>]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\9T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[0_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP>P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_7[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV<R.T][KWE^:j1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S:W%KcF!U^OV\F_5?2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SKJ]E348Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ1^*PYK]]837X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ8Q#[PXNP@]7e<]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^2Z&NdC"XQBUYAZ62=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VLO^H<9;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU;]/W\HPR502_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\<T$^SUA]CX04?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY6Y+SXNMXN>;5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW8S!U^NVP7><]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^3Z&\USC_MV219V4*SXAJEE#XQ\T@V,QZUSI]UHBY!\<1<14>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.Q?5;473\:$YRGLOO-V[VRF\&_T_YO[_BLW+V:56;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([595>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X090=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]35?3`?P6(]VCHCC!Z_RVBP*SX[]K_SEGHH0`8Q5)RW@IDB"[PSUCW+PYT\H^T@BY>6:W3+PYNKFD$YR][AU-V[QBH9k1^<"[PIBMM+PYT\H^$YRZKO^lf|q7b3\:$YRGLOO-V[VRF\&cHAIQHRQ2\W@J6k2_;#XQFCNL,QZUSI]%bHLZN_WCOMA7>3\:$YRGLOO-V[VRF\&cXXLZ30?3:?P6(]VCHCC!Z_RVBP*oT\H^7=3?6;T2,QZODGG%^S^ZNT.kPPDR;:7;27X> U^K@KK)RWZ^JX"g\T@V?7;7d3\:$YRGLOO-V[VRF\&cXXLZLME]@A4c<]9%^SDM@N.W\WQGS'`Y_MYMBD^AF[k7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?4;7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?5;7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?6;7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?7;7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?0;7c3\:$YRGLOO-V[VRF\&cXXLZPCOV?1;7e3\:$YRGLOO-V[VRF\&cXXLZPHHEK5d=R8&_TENAA/T]PPDR(aZ^JXRB@W0c8Q5)RW@IDB"[PSUCW+lRCGVdnty<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV>R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP=P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR8V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\;T$BSCK?.T]E@W4e3\:$YRGLOO-V[V_IK&MT_T@L_VMQ@^2Z&@UEI= Z_GFQ6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX1X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ4^*LYBY9$^SKJ]1c9V4*SXAJEE#XQ\YOA,QZAH\VYRBN?k;T2,QZODGG%^S^WAC.W\CJRX[PDHSE?k;T2,QZODGG%^S^WAC.W\CJRX[PDHSA?8;T2,QZODGG%^S^WAC.W\W\HD9l1^<"[PIBMM+PYTQGI$YRgKAUC\GHBXf8i0Y=!Z_HALJ*SX[PDH#dJNT@]@IAYi9h1^<"[PIBMM+PYTQGI$eJA[_R[MG4`<]9%^SDM@N.W\W\HD'`MDXR]VNB]KMBN6m2_;#XQFCNL,QZU^FJ%bKBZPSXL@[IIP9l1^<"[PIBMM+PYTQGI$e^WAC^ULVA:768o0Y=!Z_HALJ*SX[PDH#d]VNB]TKWB;97;n7X> U^K@KK)RWZSEO"g\YOA\SJTC4;4:i6[?/T]JGJH(]VYRBN!fSXL@[RIUL595=h5Z0.W\MFII'\UXUCM iR[MGZQHZM6?2<k4U1-V[LEHF&_T_T@L/hQZJFYPG[N793?j;T2,QZODGG%^S^WAC.kP]KEX_FXO0;0>3:W3+PYNKFD$YRZKI^pfc7`<]9%^SDM@N.W\PAIXE\R$YRM\_LW[+L2)]VG^T<8 Mlw{[J7482_;#XQFCNL,QZRCGVG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH989;7X> U^K@KK)RW]NDS@[W/T]@WZKRP&C?"XQBUY35+HkrpVE:><=4U1-V[LEHF&_TXIAPrde21>S7'\UBOB@ U^kGEQGXKDN:;6[?/T]JGJH(]VcOMYOPCLF\j44<]9%^SDM@N.W\mVCKWg;27X> U^K@KK)nKFGFMCJPGSR?4;7>3\:$YRGLOO-jGJKJIGNTK_^31?3:?P6(]VCHCC!fCNONEKBX[LF7<3?n;T2,QZODGG%bOBCBAOF\W@J;994:m6[?/T]JGJH(aJEFAL@K_RGO84799h1^<"[PIBMM+lEHEDKEHR]JL=31:4g<]9%^SDM@N.k@KHKFFMUXIA2>3?3b?P6(]VCHCC!fCNONEKBX[LF7=90>a:W3+PYNKFD$eNABM@LG[VCK48?5=45Z0.W\MFII'`IDA@OAD^QFH979901^<"[PIBMM+lEHEDKEHR]JL=0=5<=R8&_TENAA/hALIHGILVYN@1=1189V4*SXAJEE#dM@MLCM@ZUBD5>5=45Z0.W\MFII'`IDA@OAD^QFH939901^<"[PIBMM+lEHEDKEHR]JL=4=5<=R8&_TENAA/hALIHGILVYN@191189V4*SXAJEE#dM@MLCM@ZUBD525=45Z0.W\MFII'`IDA@OAD^QFH9?99<1^<"[PIBMM+lEHZLUBH1>1149V4*SXAJEE#dM@RD]J@9799<1^<"[PIBMM+lEHZLUBH1<1149V4*SXAJEE#dM@RD]J@959901^<"[PIBMM+lEHZLUBHR]JL=2=5d=R8&_TENAA/hALV@YNLVYN@1?:>0;8Q5)RW@IDB"gLOSG\MAYTME6:2<74U1-V[LEHF&cHC_KPIE]PAI:56830Y=!Z_HALJ*oDG[OTEIQ\EM>0:45<]9%^SDM@N.kGEQGXKDN:96[?/T]JGJH(aMK_MRMBD^lf?P6(]VCHCC!fSDN24>S7'\UBOB@ iRGO[k`<]9%^SDM@N.kP]KEb3\:$YRGLOO-jPAOb3\:$YRGLOO-jPAI6>2_;#XQFCNL,mQBHW_OH0<;1129V4*SXAJEE#dZKO^lf|qb<]9%b_EGIT^MVP`=R8&c^\IO[E^MVP3=RXMK_In5ZSDP\RLUNJEO87[ML9:TJARYSQYO:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAK=0T^ZPGOF4?]USW_IHn6VPCNPF[LHAG?1ShoQFd028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?6Wjs2`8[ZY_DGGTSR>P_^W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^73U'_T@XZ<b:]\[]JIEVUT==QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_5[)]VFHI>l4_^][HKKXWV;:SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY3Y+SXDJO8n6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\;T$MSNCK[1_-QZJDM:i0SRQWLOO\[Z74WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>0\,V[IEB;j1TSRVCNL]\[42XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV?>]/W\HFC4k2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW<<R.T]OG@5d3VUTTA@B_^]22ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^N@A6e<WVUS@CCP_^34[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:8P Z_MAF7f=XWVRGB@QP_0:\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;>Q#[PLBG0g>YXWQFEARQP18]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS8:V"XQCCD1a?ZYXPEDFSRQ>_^]V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]6:T$^SA[[3b9\[Z^KFDUTS?>P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^76U'_T@NK<c:]\[]JIEVUT><QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_44Z&\UGOH=l;^]\\IHJWVU9>RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX56[)]VFHI>m4_^][HKKXWV88SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY20X(RWEIN?n5P_^ZOJHYXW;>TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ36Y+SXDJO8o6QP_YNMIZYX:<UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[02^*PYKKL9h7RQPXMLN[ZY5>VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT10_-QZJDM:i0SRQWLOO\[Z40WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU>2\,V[IEB;j1TSRVCNL]\[7>XWV_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV?<]/W\HFC4k2UTSUBAM^]\6<YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW<:R.T]OG@5e3VUTTA@B_^]1[ZYR8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q:<P Z_MWW7f=XWVRGB@QP_22\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;>Q#[PLBG0g>YXWQFEARQP30]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS8:V"XQCCD1`?ZYXPEDFSRQ<2^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\98W%YRBLE2a8[ZY_DGGTSR=<_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V=R.G]@IA]6:T$^SAMJ3b9\[Z^KFDUTS>:P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^74U'_T@NK<c:]\[]JIEVUT?8QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP?P I_BOG_42Z&\UGOH=l;^]\\IHJWVU8:RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ8Q#HPCLFX50[)]VFHI>l4_^][HKKXWV9<SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY2Y+SXDJO8n6QP_YNMIZYX;1UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[3_-QZJDM:h0SRQWLOO\[Z5>WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU<]/W\HFC4i2UTSUBAM^]\7ZYX]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP>P Z_MWW7g=XWVRGB@QP_52\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR>V"XQCCD1a?ZYXPEDFSRQ;1^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\=T$^SAMJ3c9\[Z^KFDUTS9<P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^0Z&\UGOH=m;^]\\IHJWVU??RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX3X(RWEIN?o5P_^ZOJHYXW=>TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ:^*PYKKL9i7RQPXMLN[ZY3=VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT9\,V[IEB;k1TSRVCNL]\[10XWV_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?R.T]OG@5e3VUTTA@B_^]73ZYX]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP>P Z_MAF7g=XWVRGB@QP_5:\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR9V"XQCCD1a?ZYXPEDFSRQ;9^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\<T$^SAMJ3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_5[)]VF^X>l4_^][HKKXWV?;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY6Y+SXDJO8n6QP_YNMIZYX=8UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[7_-QZJDM:h0SRQWLOO\[Z35WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU8]/W\HFC4j2UTSUBAM^]\16YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW5S!U^N@A6d<WVUS@CCP_^77[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ2Q#[PLBG0f>YXWQFEARQP54]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS8W%YRBLE2`8[ZY_DGGTSR;9_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]5U'_T@NK<b:]\[]JIEVUT9:QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_6[)]VFHI>l4_^][HKKXWV?3SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY7Y+SXDJO8n6QP_YNMIZYX=0UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[4_-QZJDM:k0SRQWLOO\[Z3XWV_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV6R.T]OQQ5e3VUTTA@B_^]54ZYX]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP:P Z_MAF7g=XWVRGB@QP_73\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR=V"XQCCD1a?ZYXPEDFSRQ92^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U=]/D\GHB\0T$^SAMJ3c9\[Z^KFDUTS;=P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W?S!F^AN@^?Z&\UGOH=m;^]\\IHJWVU=8RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ8Q#HPCLFX5X(RWEIN?o5P_^ZOJHYXW??TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS:W%JRMBDZ0^*PYKKL9i7RQPXMLN[ZY1>VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]4U'LTO@JT3\,V[IEB;k1TSRVCNL]\[31XWV_;#XQFCNL,QZESZEUDYY!I_OAS_6[)NVIFHV:R.T]OG@5e3VUTTA@B_^]5<ZYX]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP9P Z_MAF7g=XWVRGB@QP_7;\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[2_-BZEJLR<V"XQCCD1b?ZYXPEDFSRQ9_^]V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]1U'_T@XZ<b:]\[]JIEVUT;=QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP?P I_BOG_2[)]VFHI>l4_^][HKKXWV=:SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR9V"KQLMEY;Y+SXDJO8n6QP_YNMIZYX?;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\;T$MSNCK[8_-QZJDM;n0SRQWLOO\[Z14WVU^<"[PIBMM+PYD\[FTCXZ U^AN@BTW8::0SRQWLOO\[Z13WVU^<"[PIBMM+PYD\[FTCXZ U^FBPDYQIECO>l5P_^ZOJHYXW>?TSR[?/T]JGJH(]VYRBN!Z_FMW[V_IK:k0SRQWLOO\[Z1XWV_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV:R.T]OQQ5f3VUTTA@B_^];[ZYR8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q9Q#[PLTV0e>YXWQFEARQP9^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\8T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg;>7nffpralt`YnkfdT8R~`ru15?fnnxzid|hQfcnl\0Zvhz}&hdd~|cnrf[lehfV|:S=8Pa-LLJ@*IGGO8:k5lhhrpgjvbW`idbR:Ppnpw(fnnxzid|hQfcnl\r4Y7>Vk'wnQzsd]marYwi{yn~0>#c^z`kZjn{}7Ey`ljr^AljqthdVZd~y}24:WpaZC|VHxol`2f`npa>Stm{UXol`PCicjj8STM[;0Icomld]IpdaXJ|ll1y|ze:WpaZSi}zooSO}lao?eeiub3\ynS^aitcq`ek;aieyn nQwddtjg``fe`fr1l|{iy5/gZehzlUu}k21-a\idlhzV|n~~g`n<37(fYjageyS{k}shmm93*dWkb`iQ}ewkpmjh:8%iTob|j_lcikwYqm{7: nQlosg\ilhhzV|n~0<#c^alv`YjageySlbh`]uaw;>?%iTakiPie?2(fYr{lUiyk|20-a\qvcX{`g6<!mPurg\wj`sjzijb0>#c^rbvqYnljeoiR|jghqwaw;7$jU~hQet`e\fp``58&hSc{b_bmmpwikWyeyx~3;,b]vw`Ydgg~yca>21-a\qvcXkfd~bb>=0.`[pubWjeexac2<3/gZstmVidby|`l2?2(fYr{lUhccz}om6>4)eX}zoTob`{rnn695*dW|ynSnaatsmo286+kVxiRm`nuplh2;7$jU~hQloovqki>:8%iTy~kPcnlwvjj>59&hSx}j_bmmpwik997; nQzsd]`kkruge;:1="l_tqf[fii|{eg=?3?,b]vw`Ydgg~yca?<=1.`[pubWjeexac15?3(fYr{lUntyQmsbcm95*dW|ynSx`zsdf\fveff4:'oR{|e^zppZrdcV}d~fo}otlg94*dWlrja}bj_egpmbh:nhfxi!mPcnlppwgnf}Uu}k2eyvfvkgk$jU~h|Psbcm[fnfag7: p==;bjjtvehxlUbob`Pv0]32Zg+(Qcgecv/CNPF$Bcim{kc.>4/44?firf}oy:6m|_lw{<>etWds=;o4ibmm[gudigl0enaa_cq`ekYe}omm7dm`n^an`Zbbkfnn56gloo]`kwcc3`idbRm{rm]lqqd<ajeeS~znttq:?lehfVyrbn<4nn31?}e0i;:;x hig0362(7zHIz<5i5O@y0aa?@=:3;p_nk527f9553=9:9<;nm51d1`b~h5>k0:7c<9c;48 70f2;<?7p]<8;05`?77=3;8?:9lc;3f7a7<l;hn6=4>:0yPg`<5>m0:<84>3254gf<6m:n;7{Z>1583>4<62llp_nk527f9553=9:9<;nm51d1g4>"5>:0nm6*>0781ga=e:ko1<77?:d;9ac}O:?;0(<?n:3`f?_472=q:87?::04952<z,;<26?m>;%07>7da3-io6?m?;%327?6<,8;96?8j;*74>5<#9921985a115965=<#>i1<7*>0984e>h68>09<65$a083>!77033m7c??7;03?>-d>3:1(<>7:b68j4602;:07&;9:18'55>==<1e==950:9(11<72-;;47;:;o333?7<3"?86=4+11:910=i99=1>65$5383>!7703?>7c??7;18?.36290/==65549m551=<21 9=4?:%33<?323g;;;7;4;*6f>5<#9921985a11592>=,<m0;6)??8;76?k77?3=07&:l:18'55>==<1e==958:9(0g<72-;;47;:;o333??<3">j6=4+11:910=i99=1m65$4883>!7703?>7c??7;`8?.2?290/==65549m551=k21 8:4?:%33<?323g;;;7j4;*65>5<#9921985a1159a>=,<<0;6)??8;76?k77?3l07&:<:18'55>==<1e==951198/14=83.:<54:5:l242<6921 8<4?:%33<?323g;;;7?=;:)74?6=,8:368;4n024>45<3"9m6=4+11:910=i99=1=954+2g94?"6810>96`>06821>=,;m0;6)??8;76?k77?3;=76%<c;29 46?2<?0b<>8:058?.5e290/==65549m551=9110'>o50;&24=<2=2d:<:4>9:9(1a<72-;;47;:;o333?7f32!>o7>5$02;>03<f8:<6<l4;*7a>5<#9921985a11595f=<#<k1<7*>09861>h68>0:h65$5883>!7703?>7c??7;3f?>-203:1(<>7:478j46028l07&:i:18'55>==<1e==952098/12=83.:<54:5:l242<5:21 ?44?:%33<?323g;;;7<<;:)4f?6=,8:36:o4n024>5=<#>31<7*>0984e>h68>0:76%88;29 46?2>k0b<>8:398/21=83.:<548a:l242<432!<:7>5$02;>2g<f8:<6954+6794?"6810<m6`>0686?>-0;3:1(<>7:6c8j4602?10':<50;&24=<0i2d:<:48;:)45?6=,8:36:o4n024>==<#>:1<7*>0984e>h68>0276%9f;29 46?2>k0b<>8:`98/3c=83.:<548a:l242<e32!=h7>5$02;>2g<f8:<6n54+7a94?"6810<m6`>068g?>-1j3:1(<>7:6c8j4602l10';o50;&24=<0i2d:<:4i;:)5<?6=,8:36:o4n024>46<3"<<6=4+11:93d=i99=1=<54+7494?"6810<m6`>06826>=,><0;6)??8;5b?k77?3;876%94;29 46?2>k0b<>8:068?.04290/==657`9m551=9<10';<50;&24=<0i2d:<:4>6:9(24<72-;;479n;o333?7032!=<7>5$02;>2g<f8:<6<64;*7e>5<#9921;l5a11595<=<#181<7*>0984e>h68>0:m65$8083>!7703=j7c??7;3a?>-?83:1(<>7:6c8j46028i07&9i:18'55>=?h1e==951e98/2c=83.:<548a:l242<6m21 ;i4?:%33<?1f3g;;;7?i;:)40?6=,8:36:o4n024>77<3"<26=4+11:93d=i99=1>?54+4g94?"6810<m6`>06817>=,i90;6)??8;;e?k77?3:07&7j:18'55>=1o1e==951:9(=a<72-;;477i;o333?4<3"3h6=4+11:9=c=i99=1?65$9c83>!77033m7c??7;68?.?f290/==659g9m551==21 554?:%33<??a3g;;;784;*;4>5<#99215k5a11593>=,1?0;6)??8;;e?k77?3207&7::18'55>=1o1e==959:9(=1<72-;;477i;o333?g<3"386=4+11:9=c=i99=1n65$9383>!77033m7c??7;a8?.?6290/==659g9m551=l21 5=4?:%33<??a3g;;;7k4;*:e>5<#99215k5a1159b>=,0m0;6)??8;;e?k77?3;;76%7c;29 46?20l0b<>8:038?.>e290/==659g9m551=9;10'5o50;&24=<>n2d:<:4>3:9(<<<72-;;477i;o333?7332!347>5$02;><`<f8:<6<;4;*:4>5<#99215k5a115953=<#1<1<7*>098:b>h68>0:;65$8483>!77033m7c??7;3;?>-?<3:1(<>7:8d8j46028307&o8:18'55>=1o1e==951`98/d0=83.:<546f:l242<6j21 m84?:%33<??a3g;;;7?l;:)b0?6=,8:364h4n024>4b<3"k86=4+11:9=c=i99=1=h54+`094?"68102j6`>0682b>=,100;6)??8;;e?k77?38:76%7e;29 46?20l0b<>8:308?.>4290/==659g9m551=::10'n;50;&24=<d<2d:<:4?;:)`7?6=,8:36n:4n024>4=<#j81<7*>098`0>h68>0976%l1;29 46?2j>0b<>8:298/f6=83.:<54l4:l242<332!ij7>5$02;>f2<f8:<6854+cf94?"6810h86`>0685?>-ek3:1(<>7:b68j4602>10'ol50;&24=<d<2d:<:47;:)ae?6=,8:36n:4n024><=<#k31<7*>098`0>h68>0j76%m8;29 46?2j>0b<>8:c98/g1=83.:<54l4:l242<d32!i:7>5$02;>f2<f8:<6i54+c794?"6810h86`>068f?>-e<3:1(<>7:b68j4602o10'o<50;&24=<d<2d:<:4>0:9(f4<72-;;47m;;o333?7632!i<7>5$02;>f2<f8:<6<<4;*ce>5<#9921o95a115956=<#ho1<7*>098`0>h68>0:865$ae83>!7703i?7c??7;36?>-fk3:1(<>7:b68j46028<07&om:18'55>=k=1e==951698/dg=83.:<54l4:l242<6021 m44?:%33<?e33g;;;7?6;:)`g?6=,8:36n:4n024>4g<3"ii6=4+11:9g1=i99=1=o54+bc94?"6810h86`>0682g>=,k00;6)??8;a7?k77?3;o76%l8;29 46?2j>0b<>8:0g8?.e0290/==65c59m551=9o10'ok50;&24=<d<2d:<:4=1:9(f6<72-;;47m;;o333?4532!j47>5$02;>f2<f8:<6?=4;c05<?6=93:1<v*>1`8127=O:?<0D?8>;n330?6=3th9::4?:083>5}#98k1hn5G2748L7063fni6=44}c32g?6=:3:1<v*>1`82b>N5>?1C>;?4$020>7ed3f;;>7>5;hfg>5<<uk;:n7>5b;294~"69h0:i6F=679K637<fl<1=6*k1;f7?j7693:17b?>7;29?j76>3:17b??e;29?j4183:17b??d;29?j7683:17d?>8;29?j452900c<?6:188ka4=831vn?=7:181>5<7s-;:m7k:;I052>N5>81/===52ba8mab=831d==<50;9~f75>29096=4?{%32e?c23A8=:6F=609'555=:ji0eij50;9l554=831vn?=9:187>5<7s-;:m7j7;I052>N5>81/===52ba8 `2=92c9=7>5;h00>5<<ajl1<75`11`94?=zj;996=4;:183!76i3n37E<96:J124=#9991>nm4$d695>o593:17d<<:188mf`=831d==l50;9~f750290?6=4?{%32e?b13A8=:6F=609'555=:ji0(h:51:k15?6=3`9<6=44ibd94?=h99h1<75rb06;>5<5290;w)?>a;g6?M41>2B9:<5+11196fe<amn1<75`11094?=zj8>26=4<:183!76i3oh7E<96:J124=#9991>nm4$d695==#9931>o94ieg94?=nlo0;66a>0383>>{e9;>1<7;50;2x 47f2m=0D?89;I055>"68:09on5+e582?l462900e?=50;9j72<722chj7>5;n33f?6=3th:>84?:483>5}#98k1h:5G2748L7063-;;?7<lc:&f0?7<a;;1<75f2283>>o4?3:17dmi:188k46e2900qo?=6;291?6=8r.:=l4k7:J123=O:?;0(<><:3a`?!c3281b><4?::k17?6=3`9<6=44ibd94?=h99h1<75rb004>5<2290;w)?>a;f4?M41>2B9:<5+11196fe<,l>1=6g=1;29?l442900e>950;9jgc<722e:<o4?::a54c=83?1<7>t$03b>a1<@;<=7E<91:&246<5kj1/i94>;h02>5<<a;91<75f3683>>odn3:17b??b;29?xd69o0;684?:1y'54g=l>1C>;84H342?!77;38ho6*j4;38m77=831b>>4?::k03?6=3`im6=44o02a>5<<uk;9<7>55;294~"69h0o;6F=679K637<,8:86?ml;%g7>4=n:80;66g=3;29?l502900enh50;9l55d=831vn<<>:186>5<7s-;:m7j8;I052>N5>81/===52ba8 `2=92c9=7>5;h00>5<<a:=1<75fcg83>>i68k0;66sm13094?3=83:p(<?n:e58L7013A8==6*>0281gf=#m=0:7d<>:188m75=831b?:4?::k`b?6=3f;;n7>5;|`266<72<0;6=u+10c9`2=O:?<0D?8>;%337?4dk2.n87?4i3394?=n::0;66g<7;29?lea2900c<>m:188yg75m3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;<m7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`26a<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?6;%33=?41n2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm13a94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:>6*>08813d=nll0;66gkf;29?lc72900eh?50;9l554=831vn<<m:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=991/==7526c8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;9m7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>`=#9931>5;4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7513:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:e9'55?=:1?0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c303?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6n5+11;96d0<amo1<75fdg83>>ob83:17dk>:188k4652900qo?<6;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c32h1/==752`48mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;897>55;294~"69h0nh6F=679K637<,8:86?ml;%g7><=#9931>o?4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg74<3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:99'55?=:k;0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c307?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6:5+11;96g><amo1<75fdg83>>ob83:17dk>:188k4652900qo?<2;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c32?1/==752c:8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;8=7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>0=#9931>o74ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7483:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:59'55?=:k30eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c31b?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6>5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f44?290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:52:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9:o1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>ocm3:17dji:188m`6=831bi<4?::m247<722wi=>j50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<612coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm12a94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:>6gke;29?lba2900eh>50;9ja4<722e:<?4?::a56d=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>0:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9:k1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e58f?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:?44?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?b<amo1<75fdg83>>ob83:17dk>:188k4652900qo?;7;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c32j1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb065>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1m6gke;29?lba2900eh>50;9ja4<722e:<?4?::a513=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i946;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6<=0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;:8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;??7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>2=nll0;66gkf;29?lc72900eh?50;9l554=831vn<:=:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=>2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm15394?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0>7)??9;0:4>ocm3:17dji:188m`6=831bi<4?::m247<722wi=9>50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<33-;;57<60:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9:l1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e580?!771382n6gke;29?lba2900eh>50;9ja4<722e:<?4?::a56>=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94=;%33=?4>j2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm14294?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>0881fd=nll0;66gkf;29?lc72900eh?50;9l554=831vn<;>:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752cc8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;>i7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?6k;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6=o0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!771383h6gke;29?lba2900eh>50;9ja4<722e:<?4?::a536=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<50l1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb042>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96=c<amo1<75fdg83>>ob83:17dk>:188k4652900qo?92;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3:e?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th::>4?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<7f:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9?>1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"680095<5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f402290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:0;0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c352?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7?53`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>6683>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0:6>ocm3:17dji:188m`6=831bi<4?::m247<722wi=;650;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=929j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8<26=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>4=4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg71i3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;3?7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`22g<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4><2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm17a94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>0881=0=nll0;66gkf;29?lc72900eh?50;9l554=831vn<8k:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752878mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;=i7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?79;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6>o0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!771382:6gke;29?lba2900eh>50;9ja4<722e:<?4?::a504=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5?:1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb070>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;9625<amo1<75fdg83>>ob83:17dk>:188k4652900qo?:4;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:357?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:984?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<84:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9<<1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"68009;85fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f430290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:>?0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c36<?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7113`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>5883>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;042>ocm3:17dji:188m`6=831bi<4?::m247<722wi=8o50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=769j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8?i6=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>:94ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg72k3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;=37djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`21a<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4002coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm16294?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>088135=nll0;66gkf;29?lc72900eh?50;9l554=831vn<9>:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752628mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;<i7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?78;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6?o0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!771382;6gke;29?lba2900eh>50;9ja4<722e:<?4?::a5=6=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5111bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0:2>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96<><amo1<75fdg83>>ob83:17dk>:188k4652900qo?72;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3;:?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:4>4?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<69:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e91>1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"680095l5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f4>2290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:0k0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c3;2?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7?d3`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>8683>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0:g>ocm3:17dji:188m`6=831bi<4?::m247<722wi=5650;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=9e9j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8226=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>4j4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7?i3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;3n7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`2<g<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4>m2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm19a94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>0881=c=nll0;66gkf;29?lc72900eh?50;9l554=831vn<6k:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==7528d8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;3i7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?o?;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd60o0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!77138j<6gke;29?lba2900eh>50;9ja4<722e:<?4?::a524=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5?01bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb050>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;962?<amo1<75fdg83>>ob83:17dk>:188k4652900qo?84;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:35a?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:;84?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<8b:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9><1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"68009;n5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f410290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:>i0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c34<?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>71c3`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>7883>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;04`>ocm3:17dji:188m`6=831bi<4?::m247<722wi=:o50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=7d9j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8=i6=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>:k4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg70k3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;=m7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`23a<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?40n2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm18294?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>088134=nll0;66gkf;29?lc72900eh?50;9l554=831vn<7>:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752638mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;2i7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?o>;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd61o0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!77138j=6gke;29?lba2900eh>50;9ja4<722e:<?4?::a5d6=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5i;1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0c2>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96d4<amo1<75fdg83>>ob83:17dk>:188k4652900qo?n2;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3c0?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:m>4?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<n3:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9h>1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"68009m95fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f4g2290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:h>0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c3b2?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7g23`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>a683>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0b1>ocm3:17dji:188m`6=831bi<4?::m247<722wi=l650;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=a69j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8k26=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>l94ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7fi3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;k37djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`2eg<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4f02coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm1`a94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>0881e<=nll0;66gkf;29?lc72900eh?50;9l554=831vn<ok:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752`;8mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;ji7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?on;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6io0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!77138jm6gke;29?lba2900eh>50;9ja4<722e:<?4?::a5<4=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5091bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0;0>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96=6<amo1<75fdg83>>ob83:17dk>:188k4652900qo?64;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3:2?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:584?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<71:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e90<1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"680094?5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f4?0290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:180eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c3:<?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7>43`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>9883>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0;7>ocm3:17dji:188m`6=831bi<4?::m247<722wi=4o50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=859j``<722coj7>5;hg3>5<<al;1<75`11094?=zj83i6=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>5:4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7>k3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;2=7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`2=a<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4?>2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm1c294?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>088137=nll0;66gkf;29?lc72900eh?50;9l554=831vn<l>:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752608mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;ii7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?om;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6jo0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!77138jn6gke;29?lba2900eh>50;9ja4<722e:<?4?::a5f6=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<5ij1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0a2>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96de<amo1<75fdg83>>ob83:17dk>:188k4652900qo?l2;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3cg?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:o>4?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<nd:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9j>1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"68009mh5fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f4e2290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:ho0eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c3`2?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7ga3`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>c683>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0bb>ocm3:17dji:188m`6=831bi<4?::m247<722wi=n650;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=b19j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8i26=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>o>4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7di3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;h97djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`2gg<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4e:2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm1ba94?3=83:p(<?n:df8L7013A8==6*>0281gf=#m=0:o6*>0881f6=nll0;66gkf;29?lc72900eh?50;9l554=831vn<mk:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1/==752c18mac=831bhk4?::kf4?6=3`o:6=44o021>5<<uk;hi7>55;294~"69h0nh6F=679K637<,8:86?ml;%g7>4e<,8:26?l;;hff>5<<aml1<75fe183>>ob93:17b??2;29?xd6ko0;684?:1y'54g=mm1C>;84H342?!77;38ho6*j4;3`?!77138i86gke;29?lba2900eh>50;9ja4<722e:<?4?::a5g4=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94>c:&24<<50>1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0`0>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1=n5+11;96=1<amo1<75fdg83>>ob83:17dk>:188k4652900qo?m4;291?6=8r.:=l4jd:J123=O:?;0(<><:3a`?!c328i0(<>6:3:;?lbb2900eih50;9ja5<722cn=7>5;n336?6=3th:n84?:483>5}#98k1ii5G2748L7063-;;?7<lc:&f0?7d3-;;57<78:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9k<1<7;50;2x 47f2ln0D?89;I055>"68:09on5+e582g>"68009445fdd83>>ocn3:17dk?:188m`7=831d==<50;9~f4d0290>6=4?{%32e?cc3A8=:6F=609'555=:ji0(h:51b9'55?=:130eik50;9j`c<722cn<7>5;hg2>5<<g8:96=44}c3a<?6==3:1<v*>1`8f`>N5>?1C>;?4$020>7ed3-o?6<m4$02:>7>f3`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl>b883>0<729q/=<o5ee9K630<@;<:7)??3;0`g>"b<3;h7)??9;0;e>ocm3:17dji:188m`6=831bi<4?::m247<722wi=oo50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6k2.:<44=8c9j``<722coj7>5;hg3>5<<al;1<75`11094?=zj8hi6=4::183!76i3oo7E<96:J124=#9991>nm4$d695f=#9931>5l4ieg94?=nlo0;66gj0;29?lc62900c<>=:188yg7ek3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:0a8 46>2;2h7djj:188ma`=831bi=4?::kf5?6=3f;;>7>5;|`2fa<72<0;6=u+10c9aa=O:?<0D?8>;%337?4dk2.n87?l;%33=?4?k2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm1e394?5=83:p(<?n:da8L7013A8==6*>0281gf=#m=0:=6*>0881f0=nll0;66gkf;29?j77:3:17pl>d183>6<729q/=<o5eb9K630<@;<:7)??3;0`g>"b<3l0(<>6:3`6?lbb2900eih50;9l554=831vn<j=:180>5<7s-;:m7kl;I052>N5>81/===52ba8 `2=9k1/==752c48mac=831bhk4?::m247<722wi>=750;694?6|,8;j6k?4H345?M4192.:<>4=cb9j``<722coj7>5;h33b?6=3f;;>7>5;|`142<72=0;6=u+10c9b5=O:?<0D?8>;%337?4dk2coi7>5;hfe>5<<a8:m6=44o021>5<<uk8;:7>54;294~"69h0m<6F=679K637<,8:86?ml;hff>5<<aml1<75f11d94?=h9981<75rb0f5>5<2290;w)?>a;gg?M41>2B9:<5+11196fe<,l>1n6gke;29?lba2900eh>50;9ja4<722e:<?4?::a5a1=83?1<7>t$03b>`b<@;<=7E<91:&246<5kj1/i94k3:kga?6=3`nm6=44id294?=nm80;66a>0383>>{e9m21<7;50;2x 47f2ln0D?89;I055>"68:09on5+e5827>ocm3:17dji:188m`6=831bi<4?::m247<722wi=i750;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<6i2coi7>5;hfe>5<<al:1<75fe083>>i68;0;66sm1ef94?4=83:p(<?n:d78L7013A8==6*>0281gf=nlm0;66a>0383>>{e9mk1<7=50;2x 47f2li0D?89;I055>"68:09on5+e58e?lbb2900eih50;9l554=831vn<jl:186>5<7s-;:m7kk;I052>N5>81/===52ba8 `2=9j1bhh4?::kgb?6=3`o;6=44id394?=h9981<75rb0fa>5<4290;w)?>a;g`?M41>2B9:<5+11196fe<,l>1=o5+11;96g0<amo1<75fdg83>>i68;0;66sm21:94?2=83:p(<?n:e:8L7013A8==6*>0281gf=#m=0:7d<>:188m75=831bok4?::m24g<722wi=hm50;194?6|,8;j6?8:;I052>N5>81/===52ba8m73=831bi:4?::m247<722wi=h750;694?6|,8;j6hh4H345?M4192.:<>4=cb9j60<722co<7>5;h33b?6=3fo36=44}c3e4?6=;3:1<v*>1`8120=O:?<0D?8>;%337?4dk2c997>5;hg4>5<<g8:96=44}c3f`?6=<3:1<v*>1`8fb>N5>?1C>;?4$020>7ed3`8>6=44ie294?=n99l1<75`e983>>{e9o>1<7=50;2x 47f2;<>7E<96:J124=#9991>nm4i3794?=nm>0;66a>0383>>{e9o;1<7:50;2x 47f2ll0D?89;I055>"68:09on5f2483>>oc83:17d??f;29?jc?2900qo?i8;297?6=8r.:=l4=649K630<@;<:7)??3;0`g>o5=3:17dk8:188k4652900qo?i5;290?6=8r.:=l4jf:J123=O:?;0(<><:3a`?l422900ei>50;9j55`=831di54?::a5ce=8391<7>t$03b>7023A8=:6F=609'555=:ji0e?;50;9ja2<722e:<?4?::a5c?=83>1<7>t$03b>``<@;<=7E<91:&246<5kj1b>84?::kg4?6=3`;;j7>5;ng;>5<<uk;mj7>53;294~"69h09:85G2748L7063-;;?7<lc:k11?6=3`o<6=44o021>5<<uk;nn7>52;294~"69h0nn6F=679K637<,8:86?ml;%g7>47<amo1<75`11094?=zj8om6=4=:183!76i3oi7E<96:J124=#9991>nm4$d6954=nll0;66a>0383>>{e9o91<7<50;2x 47f2lh0D?89;I055>"68:09on5+e5825>ocm3:17b??2;29?xd6n>0;6?4?:1y'54g=mk1C>;84H342?!77;38ho6*j4;32?lbb2900c<>=:188yg7aj3:1>7>50z&25d<bj2B9:;5G2738 4642;ih7)k;:038mac=831d==<50;9~f4`b29096=4?{%32e?ce3A8=:6F=609'555=:ji0(h:5109j``<722e:<?4?::a5`g=83?1<7>t$03b>ag<@;<=7E<91:&246<5kj1/i94>;h02>5<<a;91<75fcg83>>o68j0;66a>0c83>>{e9lo1<7;50;2x 47f2mk0D?89;I055>"68:09on5+e582?l462900e?=50;9jgc<722c:<n4?::m24g<722wi=k<50;794?6|,8;j6io4H345?M4192.:<>4=cb9'a1<63`8:6=44i3194?=nko0;66g>0b83>>i68k0;66sm1g494?3=83:p(<?n:ec8L7013A8==6*>0281gf=#m=0:7d<>:188m75=831bok4?::k24f<722e:<o4?::a5cg=83?1<7>t$03b>ag<@;<=7E<91:&246<5kj1/i94>;h02>5<<a;91<75fcg83>>o68j0;66a>0c83>>{e9on1<7;50;2x 47f2mk0D?89;I055>"68:09on5+e582?l462900e?=50;9jgc<722c:<n4?::m24g<722wi>?:50;794?6|,8;j6hj4H345?M4192.:<>4=cb9'a1<d3`nn6=44ied94?=nm90;66gj1;29?j77:3:17pl=2383>6<729q/=<o5eb9K630<@;<:7)??3;0`g>"b<3;i7)??9;0a3>ocm3:17dji:188k4652900qo<=6;296?6=8r.:=l4j5:J123=O:?;0(<><:3a`?lbc2900c<>=:188yg45;3:197>50z&25d<bl2B9:;5G2738 4642;ih7)k;:e9j``<722coj7>5;hg3>5<<al;1<75`11094?=zj;8>6=4::183!76i3nj7E<96:J124=#9991>nm4$d695>o593:17d<<:188mf`=831b==m50;9l55d=831vn??m:187>5<7s-;:m7j6;I052>N5>81/===52ba8 `2=92c9=7>5;hae>5<<a8:h6=44o02a>5<<uk8:o7>54;294~"69h0o56F=679K637<,8:86?ml;%g7>4=n:80;66glf;29?l77k3:17b??b;29?xd59m0;694?:1y'54g=l01C>;84H342?!77;38ho6*j4;38m77=831bok4?::k24f<722e:<o4?::a64c=83>1<7>t$03b>a?<@;<=7E<91:&246<5kj1/i94>;h02>5<<ajl1<75f11a94?=h99h1<75rb33e>5<3290;w)?>a;f:?M41>2B9:<5+11196fe<,l>1=6g=1;29?lea2900e<>l:188k46e2900qo<=0;290?6=8r.:=l4k9:J123=O:?;0(<><:3a`?!c3281b><4?::k`b?6=3`;;o7>5;n33f?6=3th9><4?:583>5}#98k1h45G2748L7063-;;?7<lc:&f0?7<a;;1<75fcg83>>o68j0;66a>0c83>>{e::>1<7950;2x 47f2lo0D?89;I055>"b<3n>7djj:188ma`=831bi=4?::kf5?6=3`o96=44id194?=h9981<75rb316>5<0290;w)?>a;gf?M41>2B9:<5+e582`>ocm3:17dji:188m`6=831bi<4?::kf6?6=3`o86=44o021>5<<uz?<6=4={_74?844<3o87p}8c;296~X0k279?94j0:pe4<72;qUm<522269a7=z{j<1<7<t^b4897532l;0q~;9:181[3134;>o7??2:p11<72;qU995214c9554<uz?86=4={_70?87203;;>6s|5383>7}Y=;16=8851108yv362909wS;>;<360?77:2wx9=4?:3y]15=:9<81==<4}r6f>5<5sW>n70?9e;336>{t<m0;6?uQ4e9>53e=9980q~:l:181[2d34;=m7??2:p0g<72;qU8o5217:9554<uz>j6=4={_6b?871>3;;>6s|4883>7}Y<016=;:51108yv2?2909wS:7;<356?77:2wx8:4?:3y]02=:9?:1==<4}r65>5<5sW>=70?:e;336>{t<<0;6?uQ449>506=9980q~:<:181[2434;>h7??2:p07<72;qU8?5214`9554<uz>:6=4={_62?87213;;>6s|4183>7}Y<916=8951108yv5a2909wS=i;<361?77:2wx?h4?:3y]7`=:9<91==<4}r1g>5<5sW9o70?9f;336>{t;j0;6?uQ3b9>53b=9980q~=m:181[5e34;=n7??2:p7d<72;qU?l5217;9554<uz?o6=4={_7g?871?3;;>6s|5b83>7}Y=j16=;;51108yv3e2909wS;m;<357?77:2wx9l4?:3y]1d=:9?;1==<4}r7:>5<5sW?270?:f;336>{t=10;6?uQ599>507=9980q~9m:181[1e34;<o7??2:p3<<72;qU;45216c9554<uz=36=4={_5;?87003;;>6s|7683>7}Y?>16=:851108yv112909wS99;<340?77:2wx;84?:3y]30=:9>81==<4}r50>5<5sW=870?7e;336>{t?;0;6?uQ739>5=e=9980q~9>:181[1634;3m7??2:p35<72;qU;=5219:9554<uz<m6=4={_4e?87?>3;;>6s|6d83>7}Y>l16=5:51108yv0c2909wS8k;<3;6?77:2wx:n4?:3y]2f=:91:1==<4}r4a>5<5sW<i70?8e;336>{t>h0;6?uQ6`9>526=9980q~87:181[0?34;<h7??2:p22<72;qU::5216`9554<uz<=6=4={_45?87013;;>6s|6483>7}Y><16=:951108yv032909wS8;;<341?77:2wx:>4?:3y]26=:9>91==<4}r41>5<5sW<970?7f;336>{t>80;6?uQ609>5=b=9980q~8?:181[0734;3n7??2:p1c<72;qU9k5219;9554<uz296=4={_:1?87??3;;>6s|8083>7}Y0816=5;51108yv>72909wS6?;<3;7?77:2wx;k4?:3y]3c=:91;1==<4}r5f>5<5sW=n70?8f;336>{t?m0;6?uQ7e9>527=9980q~o?:181[g734;2o7??2:p=`<72;qU5h5218c9554<uz3o6=4={_;g?87>03;;>6s|9b83>7}Y1j16=4851108yv?e2909wS7m;<3:0?77:2wx5l4?:3y]=d=:9081==<4}r;;>5<5sW3370?ne;336>{t1>0;6?uQ969>5de=9980q~79:181[?134;jm7??2:p=0<72;qU58521`:9554<uz3?6=4={_;7?87f>3;;>6s|9283>7}Y1:16=l:51108yv?52909wS7=;<3b6?77:2wx5<4?:3y]=4=:9h:1==<4}r;3>5<5sW3;70?6e;336>{t0o0;6?uQ8g9>5<6=9980q~6k:181[>c34;2h7??2:p<f<72;qU4n5218`9554<uz2i6=4={_:a?87>13;;>6s|8`83>7}Y0h16=4951108yv>>2909wS66;<3:1?77:2wx454?:3y]<==:9091==<4}r:4>5<5sW2<70?nf;336>{t0?0;6?uQ879>5db=9980q~6::181[>234;jn7??2:p<1<72;qU49521`;9554<uzk<6=4={_c4?87f?3;;>6s|a783>7}Yi?16=l;51108yvg22909wSo:;<3b7?77:2wxm94?:3y]e1=:9h;1==<4}rc0>5<5sWk870?6f;336>{ti;0;6?uQa39>5<7=9980q~m::181[e234;io7??2:pg6<72;qUo>521cc9554<uzi96=4={_a1?87e03;;>6s|c083>7}Yk816=o851108yve72909wSm?;<3a0?77:2wxnk4?:3y]fc=:9k81==<4}r`g>5<5sWho70?le;336>{tjj0;6?uQbb9>5fe=9980q~lm:181[de34;hm7??2:pfd<72;qUnl521b:9554<uzh26=4={_`:?87d>3;;>6s|b983>7}Yj116=n:51108yvd02909wSl8;<3`6?77:2wxn;4?:3y]f3=:9j:1==<4}r`6>5<5sWh>70?me;336>{tj=0;6?uQb59>5g6=9980q~l=:181[d534;ih7??2:pf4<72;qUn<521c`9554<uzh;6=4={_`3?87e13;;>6s|ag83>7}Yio16=o951108yvgb2909wSoj;<3a1?77:2wxmi4?:3y]ea=:9k91==<4}rc`>5<5sWkh70?lf;336>{tik0;6?uQac9>5fb=9980q~on:181[gf34;hn7??2:pe<<72;qUm4521b;9554<uzih6=4={_a`?87d?3;;>6s|cc83>7}Ykk16=n;51108yvef2909wSmn;<3`7?77:2wxo44?:3y]g<=:9j;1==<4}ra;>5<5sWi370?mf;336>{tk>0;6?uQc69>5g7=9980q~h=:18g84103;;863=33817>;5;?09?63=09817>;6nm09?63>f`817>;6n?09?63>f3817>;6ml09?63>e`817>;6m009963>eb811>;5:<0hj6s|24d94?4|5;9<6??4=03a>7073ty99h4?:5y>51?=lo16=i<5dg9>5ad=lo16=<l51038yv76l3:1>v3>1c8g6>;69j0oh6s|24494?4|5;9<6nh4=31;>4653ty9954?:3y>661=;>16>>751108yv4213:1?v3>d38ga>;6lk0oi63=24824g=z{;?i6=4={<002?77j27:=o4>199~w73d2909w0<?8;33f>;5;<0n<6s|22a94?g|58836<>=;<3a5?ba34;i<7ji;<3:5?ba34;2<7ji;<345?ba34;<<7ji;<365?ba34;><7ji;<3gg?ba3ty98>4?:8y>57`=99801<li:ed894db2ml01<7i:ed894?b2ml01<9i:ed8941b2ml01<;i:ed8943b2ml0q~<;4;29=~;6;90:<?521b39`c=:9j:1hk521`39`c=:9h:1hk521939`c=:91:1hk521739`c=:9?:1hk5rs366>5<>s4;8=7??2:?2g6<cn27:o?4kf:?2e6<cn27:m?4kf:?2<6<cn27:4?4kf:?226<cn27::?4kf:p610=833p1<==:021?87d=3nm70?l4;fe?87f=3nm70?n4;fe?87?=3nm70?74;fe?871=3nm70?94;fe?xu5<>0;64u21219554<58i<6ih4=0a5>a`<58k<6ih4=0c5>a`<582<6ih4=0:5>a`<58<<6ih4=045>a`<uz8?47>59z?271<68;16=n75dg9>5f>=lo16=l75dg9>5d>=lo16=575dg9>5=>=lo16=;75dg9>53>=lo1v?:6:18:874=3;;>63>cc8gb>;6kh0oj63>ac8gb>;6ih0oj63>8c8gb>;60h0oj63>6c8gb>;6>h0oj6s|25c94??|589=6<>=;<3``?ba34;ho7ji;<3b`?ba34;jo7ji;<3;`?ba34;3o7ji;<35`?ba34;=o7ji;|q10g<720q6=>95110894ea2ml01<mj:ed894ga2ml01<oj:ed894>a2ml01<6j:ed8940a2ml01<8j:ed8yv44l3:15v3>288247=:9k91hk521c09`c=:9091hk521809`c=:9>91hk521609`c=:9<91hk521409`c=z{;9n6=46{<31e?77:27:n84kf:?2f1<cn27:584kf:?2=1<cn27:;84kf:?231<cn27:984kf:?211<cn2wx>>h50;;x944e28:970?m7;fe?87e>3nm70?67;fe?87>>3nm70?87;fe?870>3nm70?:7;fe?872>3nm7p}=4183><}:9;i1==<4=0`:>a`<58h36ih4=0;:>a`<58336ih4=05:>a`<58=36ih4=07:>a`<58?36ih4}r075?6=1r7:>i4>039>5gd=lo16=oo5dg9>5<d=lo16=4o5dg9>52d=lo16=:o5dg9>50d=lo16=8o5dg9~w7252902w0?=e;336>;6jm0oj63>bb8gb>;61m0oj63>9b8gb>;6?m0oj63>7b8gb>;6=m0oj63>5b8gb>{t:<:1<7<>{<30<?77:27:9i4j0:?21f<b827:9o4j0:?21d<b827:944j0:?21=<b827:9:4j0:?213<b827:984j0:?211<b827:9>4j0:?217<b827::k4j0:?22`<b827::i4j0:?22f<b827::o4j0:?22d<b827::44j0:?22=<b827:::4j0:?223<b827::84j0:?221<b827::>4j0:?227<b827::<4j0:?225<b827:9k4j0:?21`<b827:9<4j0:?215<b82wx>8<50;02874n3;;>63>7e8f4>;6?j0n<63>7c8f4>;6?h0n<63>788f4>;6?10n<63>768f4>;6??0n<63>748f4>;6?=0n<63>728f4>;6?;0n<63>8g8f4>;60l0n<63>8e8f4>;60j0n<63>8c8f4>;60h0n<63>888f4>;6010n<63>868f4>;60?0n<63>848f4>;60=0n<63>828f4>;60;0n<63>808f4>;6090n<63>7g8f4>;6?l0n<63>708f4>;6?90n<6s|24194?46s4;?<7??2:?2=a<b827:5n4j0:?2=g<b827:5l4j0:?2=<<b827:554j0:?2=2<b827:5;4j0:?2=0<b827:594j0:?2=6<b827:5?4j0:?2ec<b827:mh4j0:?2ea<b827:mn4j0:?2eg<b827:ml4j0:?2e<<b827:m54j0:?2e2<b827:m;4j0:?2e0<b827:m94j0:?2e6<b827:m?4j0:?2e4<b827:m=4j0:?2=c<b827:5h4j0:?2=4<b827:5=4j0:p602=838:w0?;1;336>;6jm0n<63>bb8f4>;6jk0n<63>b`8f4>;6j00n<63>b98f4>;6j>0n<63>b78f4>;6j<0n<63>b58f4>;6j:0n<63>b38f4>;6ko0n<63>cd8f4>;6km0n<63>cb8f4>;6kk0n<63>c`8f4>;6k00n<63>c98f4>;6k>0n<63>c78f4>;6k<0n<63>c58f4>;6k:0n<63>c38f4>;6k80n<63>c18f4>;6jo0n<63>bd8f4>;6j80n<63>b18f4>{t:<;1<7<t=01f>46534;oo7k?;|q10f<728>p1<<?:02a?876n3im70?<8;ff?874n3nn70?;0;ff?87393nn70?;2;ff?873;3nn70?;4;ff?873=3nn70?;6;ff?873?3nn70?<9;ff?874i3nn70?<b;ff?874k3nn70?<d;ff?874m3nn70<<4;ff?844=3o:7p}=4e83>42|588:6<>m;<314?ea34;847ji;<30b?ba34;?<7ji;<375?ba34;?>7ji;<377?ba34;?87ji;<371?ba34;?:7ji;<373?ba34;857ji;<30e?ba34;8n7ji;<30g?ba34;8h7ji;<30a?ba348887ji;<001?c53ty98h4?:01x944528:i70?=1;ae?87403o;70?<f;g3?87383o;70?;1;g3?873:3o;70?;3;g3?873<3o;70?;5;g3?873>3o;70?;7;g3?87413o;70?<a;g3?874j3o;70?<c;g3?874l3o;70?<e;g3?844=3nn7p}=4g83>45|58886<>m;<316?ea34;847k>;<30b?c634;?<7k>;<375?c634;?>7k>;<377?c634;?87k>;<371?c634;?:7k>;<373?c634;857k>;<30e?c634;8n7k>;<30g?c634;8h7k>;<30a?c6348897ji;|q17d<72=q6=?:511`894b72mo01<j>:eg894bf2mo0q~<<b;291~;6:<0:<o521369gc=:9m:1hk521e39`c=:9mk1hk5rs376>5<3s488;7??b:?17=<cl27:844ke:?163<cl2wx>8j50;0x97512jl01?=::021?xu5=>0;6>u222;9`a=:98h1=<>4=06;>ab<uz8>m7>52z?177<dn27:=o4>169~w42f290iw0?=3;14?875:39<70?=1;14?875839<70?>f;14?876m39<70?=7;14?875>39<70?=5;14?875<39<70?;8;336>{t9=l1<7lt=000>75<58896?=4=002>75<588;6?=4=03e>75<58;n6?=4=004>75<588=6?=4=006>75<588?6?=4=06:>4653ty:8i4?:00x944128:i70?=5;ae?87503nn70?=f;ff?87483nn70?<1;ff?874:3nn70?<3;ff?874<3nn70?<5;ff?874>3nn70?<7;ff?87513nn70?=a;ff?875j3nn70?=c;ff?875l3nn70?=e;ff?xu6<l0;6<<t=004>46e34;9:7mi;<31<?ba34;9j7ji;<304?ba34;8=7ji;<306?ba34;8?7ji;<300?ba34;897ji;<302?ba34;8;7ji;<31=?ba34;9m7ji;<31f?ba34;9o7ji;<31`?ba34;9i7ji;|q20g<7288p1<?j:02a?875?3im70?=8;g3?875n3o;70?<0;g3?87493o;70?<2;g3?874;3o;70?<4;g3?874=3o;70?<6;g3?874?3o;70?=9;g3?875i3o;70?=b;g3?875k3o;70?=d;g3?875m3o;7p}>4b83>44|58;m6<>m;<32a?ea34;947k>;<31b?c634;8<7k>;<305?c634;8>7k>;<307?c634;887k>;<301?c634;8:7k>;<303?c634;957k>;<31e?c634;9n7k>;<31g?c634;9h7k>;<31a?c63ty:h94?:53x94b628:970?mc;g2?87ei3o:70?m8;g2?87e>3o:70?m4;g2?87e:3o:70?le;g2?87dk3o:70?la;g2?87d03o:70?l6;g2?87d<3o:70?l2;g2?87d83o:70?me;g2?87e83o:70?6c;g2?87>i3o:70?68;g2?87>>3o:70?64;g2?87>:3o:70?ne;g2?87fk3o:70?na;g2?87f03o:70?n6;g2?87f<3o:70?n2;g2?87f83o:70?6e;g2?87>83o:70?8c;g2?870i3o:70?88;g2?870>3o:70?84;g2?870:3o:70?7e;g2?87?k3o:70?7a;g2?87?03o:70?76;g2?87?<3o:70?72;g2?87?83o:70?8e;g2?87083o:70?:c;g2?872i3o:70?:8;g2?872>3o:70?:4;g2?872:3o:70?9e;g2?871k3o:70?9a;g2?87103o:70?96;g2?871<3o:70?92;g2?87183o:70?:e;g2?87283o:7p}>d283>17|58n;6<>=;<3a`?c634;in7k>;<3a=?c634;i;7k>;<3a1?c634;i?7k>;<3`b?c634;hh7k>;<3`f?c634;h57k>;<3`3?c634;h97k>;<3`7?c634;h=7k>;<3ab?c634;i=7k>;<3:`?c634;2n7k>;<3:=?c634;2;7k>;<3:1?c634;2?7k>;<3bb?c634;jh7k>;<3bf?c634;j57k>;<3b3?c634;j97k>;<3b7?c634;j=7k>;<3:b?c634;2=7k>;<34`?c634;<n7k>;<34=?c634;<;7k>;<341?c634;<?7k>;<3;b?c634;3h7k>;<3;f?c634;357k>;<3;3?c634;397k>;<3;7?c634;3=7k>;<34b?c634;<=7k>;<36`?c634;>n7k>;<36=?c634;>;7k>;<361?c634;>?7k>;<35b?c634;=h7k>;<35f?c634;=57k>;<353?c634;=97k>;<357?c634;==7k>;<36b?c634;>=7k>;|q2`0<721;p1<j=:021?87el3nn70?mc;ff?87ej3nn70?ma;ff?87e13nn70?m8;ff?87e?3nn70?m6;ff?87e=3nn70?m4;ff?87e;3nn70?m2;ff?87dn3nn70?le;ff?87dl3nn70?lc;ff?87dj3nn70?la;ff?87d13nn70?l8;ff?87d?3nn70?l6;ff?87d=3nn70?l4;ff?87d;3nn70?l2;ff?87d93nn70?l0;ff?87en3nn70?me;ff?87e93nn70?m0;ff?87>l3nn70?6c;ff?87>j3nn70?6a;ff?87>13nn70?68;ff?87>?3nn70?66;ff?87>=3nn70?64;ff?87>;3nn70?62;ff?87fn3nn70?ne;ff?87fl3nn70?nc;ff?87fj3nn70?na;ff?87f13nn70?n8;ff?87f?3nn70?n6;ff?87f=3nn70?n4;ff?87f;3nn70?n2;ff?87f93nn70?n0;ff?87>n3nn70?6e;ff?87>93nn70?60;ff?870l3nn70?8c;ff?870j3nn70?8a;ff?87013nn70?88;ff?870?3nn70?86;ff?870=3nn70?84;ff?870;3nn70?82;ff?87?n3nn70?7e;ff?87?l3nn70?7c;ff?87?j3nn70?7a;ff?87?13nn70?78;ff?87??3nn70?76;ff?87?=3nn70?74;ff?87?;3nn70?72;ff?87?93nn70?70;ff?870n3nn70?8e;ff?87093nn70?80;ff?872l3nn70?:c;ff?872j3nn70?:a;ff?87213nn70?:8;ff?872?3nn70?:6;ff?872=3nn70?:4;ff?872;3nn70?:2;ff?871n3nn70?9e;ff?871l3nn70?9c;ff?871j3nn70?9a;ff?87113nn70?98;ff?871?3nn70?96;ff?871=3nn70?94;ff?871;3nn70?92;ff?87193nn70?90;ff?872n3nn70?:e;ff?87293nn70?:0;ff?xu59h0;6?u221:9gc=::931==<4}r02=?6=:r79<:4>039>65?=ll1v??7:181847>3;;>63=088gb>{t:9i1<7<t=0f5>465348;;7jj;|q14a<72;q6=i95110897602ml0q~<?e;296~;6l10:<?522149``=z{;:m6=4={<3g=?77:279<;4kf:p647=83=p1<jk:021?87al3;;o63>f`824f=:9o<1==m4=0d1>46d34;ni7??c:?2ad<68j1v?>n:18187ck3o:70?ka;336>{t:8:1<7<t=0f`>46534;oh7jk;|q14g<72;q6=il5110894bd2mo0q~<>7;297~;5800:<k521gf955d<58ln6ik4}r022?6=<r79<;4>0g9>651=99l01<hn:02a?87aj3nn7p}=1483>3}:9m31i<521e:9a4=:9m=1i<521e49a4=:9o<1==l4=0d4>ac<uz8:87>56z?2`<<b827:h54j0:?2`2<b827:h;4j0:?2b7<68k16=k=5dd9~w774290=w0?k9;fe?87c03nm70?k7;fe?87c>3nm70?je;33f>;6mo0oi6s|20094?0|58n26ik4=0f;>ac<58n<6ik4=0f5>ac<58oj6<>m;<3ff?bb3ty:i>4?:3y>5`g=ko16=hm51108yv7cm3:1?v3>ee811>;6n909963>e88f<>{t9l>1<7<t=0gf>f`<58l;6<>=;|q2`c<72:q6=k?5249>5c2=:<16=hj5e99~w4c22909w0?i2;ae?87a<3;;>6s|1d294?5|58l>6?;4=0d;>73<58l:6h64}r3f2?6=:r7:j;4lf:?2b=<68;1v<k>:18087a138>70?ic;06?87a=3o37p}>e683>7}:9ok1ok521ga9554<uz;n>7>52z?2bc<5=27:j44j8:p5`>=838p1<hk:bd894`a28:97p}=0183>6}:9lh1==<4=0g:>46a34;no7k8;|q144<72:q6=hh5110894cc28:m70?i0;g4?xu58;0;6>u21g19554<58l:6<>i;<3e0?c03ty9<>4?:2y>5c1=99801<h::02e?87a03o<7p}=0583>6}:9oh1==<4=0d:>46a34;mo7k8;|q140<72;q6=kk5110894`a2l=0q~<=a;296~;5:;0oi63=258247=z{;836=4={<011?443489>7??2:p671=833p1?<>:02`?84583;;o63=1g824f=::8o1==m4=33g>46d348:o7??c:?15g<68j16>?;511a8974128:97p}=2c83>7}::8h1==l4=307>ac<uz89o7>53z?15f<68k16><l5cg9>672=lo1v?<k:180846l3;;n63=1b8`b>;5:=0n<6s|23g94?5|5;;n6<>m;<02`?ea348987k>;|q16c<72:q6><h511`8977b2jl01?<<:eg8yv4483:1?v3=21824g=::8l1ok522319`c=z{;826=4={<017?77:279>?4kf:p667=839p1?<>:02a?84583im70<=3;g3?xu5;:0;6?u22269554<5;9>6h=4}rd0>5<7stym87>50zpb0<729qvk850;2xyv`0290;wp}i8;294~{tn00;6=ursgc94?6|uzli6=4?{|qeg?6=irT?j6P84:\:=>;6n00o<63>f48g4>;6n80o<63>ee8g4>;6m00o<63=668gf>Xem2wxji4?:9y]01=Y>01U4h521319gc=::;;1ok522319a4=Yj:16>><511`8yv`b2909<vP<9:\6a>X?;279??4=1:?173<5927:>>4=1:?267<5927:><4=1:?265<5927:=k4=1:?25`<5927:>:4=1:?263<5927:>84=1:?261<59279<54=1:?2ba<5927:jl4=1:?2b3<5927:j?4=1:?2a`<5927:il4=1:?164<59279>=4=1:?15c<59279=h4=1:?15a<59279=n4=1:?15g<59279>84=1:\b<>;69j0:<?5r}o7ab?6=9rB9:<5rn4a3>5<6sA8==6sa5b394?7|@;<:7p`:c383>4}O:?;0qc;l3;295~N5>81vb8m;:182M4192we9n;50;3xL7063td>o;4?:0yK637<ug?h;7>51zJ124=zf<i36=4>{I055>{i=j31<7?tH342?xh2kh0;6<uG2738yk3dj3:1=vF=609~j0ed290:wE<91:m1fb=83;pD?8>;|l6g`<728qC>;?4}o7`b?6=9rB9:<5rn4f3>5<6sA8==6sa5e394?7|@;<:7p`:d383>4}O:?;0qc;k3;295~N5>81vb8j;:182M4192we9i;50;3xL7063td>h;4?:0yK637<ug?o;7>51zJ124=zf<n36=4>{I055>{i=m31<7?tH342?xh2lh0;6<uG2738yk3cj3:1=vF=609~j0bd290:wE<91:m1ab=83;pD?8>;|l6``<728qC>;?4}o7gb?6=9rB9:<5rn4g3>5<6sA8==6sa5d394?7|@;<:7p`:e383>4}O:?;0qc;j3;295~N5>81vb8k;:182M4192we9h;50;3xL7063td>i;4?:0yK637<ug?n;7>51zJ124=zf<o36=4>{I055>{i=l31<7?tH342?xh2mh0;6<uG2738yk3bj3:1=vF=609~j0cd290:wE<91:m1`b=83;pD?8>;|l6a`<728qC>;?4}o7fb?6=9rB9:<5rn4d3>5<6sA8==6sa5g394?7|@;<:7p`:f383>4}O:?;0qc;i3;295~N5>81vb8h;:182M4192we9k;50;3xL7063td>j;4?:0yK637<ug?m;7>51zJ124=zf<l36=4>{I055>{i=o31<7?tH342?xh2nh0;6<uG2738yk3aj3:1=vF=609~j0`d290:wE<91:m1cb=83;pD?8>;|l6b`<728qC>;?4}o7eb?6=9rB9:<5rn723>5<6sA8==6sa61394?7|@;<:7p`90383>4}O:?;0qc8?3;295~N5>81vb;>;:182M4192we:=;50;3xL7063td=<;4?:0yK637<ug<;;7>51zJ124=zf?:36=4>{I055>{i>931<7?tH342?xh18h0;6<uG2738yk07j3:1=vF=609~j36d290:wE<91:m25b=83;pD?8>;|l54`<728qC>;?4}o43b?6=9rB9:<5rn733>5<6sA8==6sa60394?7|@;<:7p`91383>4}O:?;0qc8>3;295~N5>81vb;?;:182M4192we:<;50;3xL7063td==;4?:0yK637<ug<:;7>51zJ124=zf?;36=4>{I055>{i>831<7?tH342?xh19h0;6<uG2738yk06j3:1=vF=609~j37d290:wE<91:m24b=83;pD?8>;|l55`<728qC>;?4}o42b?6=9rB9:<5rn703>5<6sA8==6sa63394?7|@;<:7p`92383>4}O:?;0qc8=3;295~N5>81vb;<;:182M4192we:?;50;3xL7063td=>;4?:0yK637<ug<9;7>51zJ124=zf?836=4>{I055>{i>;31<7?tH342?xh1:h0;6<uG2738yk05j3:1=vF=609~j34d290:wE<91:m27b=83;pD?8>;|l56`<728qC>;?4}o41b?6=9rB9:<5rn713>5<6sA8==6sa62394?7|@;<:7p`93383>4}O:?;0qc8<3;295~N5>81vb;=;:182M4192we:>;50;3xL7063td=?;4?:0yK637<ug<8;7>51zJ124=zf?936=4>{I055>{i>:31<7?tH342?xh1;h0;6<uG2738yk04j3:1=vF=609~j35d290:wE<91:m26b=83;pD?8>;|l57`<728qC>;?4}o40b?6=9rB9:<5rn763>5<6sA8==6sa65394?7|@;<:7p`94383>4}O:?;0qc8;3;295~N5>81vb;:;:182M7792B:<=5G2738yk03=3:1=vF>009K556<@;<:7p`94783>4}O:?;0qc8;7;295~N5>81vb;:7:182M4192we:9750;3xL7063td=8l4?:0yK637<ug<?n7>51zJ124=zf?>h6=4>{I055>{i>=n1<7?tH342?xh1<l0;6<uG2738yk03n3:1=vF=609~j337290:wE<91:m207=83;pD?8>;|l517<728qC>;?4}o467?6=9rB9:<5rn777>5<6sA8==6sa64794?7|@;<:7p`95783>4}O:?;0qc8:7;295~N5>81vb;;7:182M4192we:8750;3xL7063td=9l4?:0yK637<ug<>n7>51zJ124=zf??h6=4>{I055>{i><n1<7?tH342?xh1=l0;6<uG2738yk02n3:1=vF=609~j307290:wE<91:m237=83;pD?8>;|l527<728qC>;?4}o457?6=9rB9:<5rn747>5<6sA8==6sa67794?7|@;<:7p`96783>4}O:?;0qc897;295~N5>81vb;87:182M4192we:;750;3xL7063td=:l4?:0yK637<ug<=n7>51zJ124=zf?<h6=4>{I055>{i>?n1<7?tH342?xh1>l0;6<uG2738yk01n3:1=vF=609~j317290:wE<91:m227=83;pD?8>;|l537<728qC>;?4}o447?6=9rB9:<5rn757>5<6sA8==6sa66794?7|@;<:7p`97783>4}O:?;0qc887;295~N5>81vb;97:182M4192we::750;3xL7063td=;l4?:0yK637<ug<<n7>51zJ124=zf?=h6=4>{I055>{i>>n1<7?tH342?xh1?l0;6<uG2738yk00n3:1=vF=609~j3>7290:wE<91:m2=7=83;pD?8>;|l5<7<728qC>;?4}o4;7?6=9rB9:<5rn7:7>5<6sA8==6sa69794?7|@;<:7p`98783>4}O:?;0qc877;295~N5>81vb;67:182M4192we:5750;3xL7063td=4l4?:0yK637<ug<3n7>51zJ124=zf?2h6=4>{I055>{i>1n1<7?tH342?xh10l0;6<uG2738yk0?n3:1=vF=609~j3?7290:wE<91:m2<7=83;pD?8>;|l5=7<728qC>;?4}o4:7?6=9rB9:<5rn7;7>5<6sA8==6sa68794?7|@;<:7p`99783>4}O:?;0qc867;295~N5>81vb;77:182M4192we:4750;3xL7063td=5l4?:0yK637<ug<2n7>51zJ124=zf?3h6=4>{I055>{i>0n1<7?tH342?xh11l0;6<uG2738yk0>n3:1=vF=609~j3g7290:wE<91:m2d7=83;pD?8>;|l5e7<728qC>;?4}o4b7?6=9rB9:<5rn7c7>5<6sA8==6sa6`794?7|@;<:7p`9a783>4}O:?;0qc8n7;295~N5>81vb;o7:182M4192we:l750;3xL7063td=ml4?:0yK637<ug<jn7>51zJ124=zf?kh6=4>{I055>{i>hn1<7?tH022?M7782B9:<5r}|CDF}01m0n4llj0da~DED|8tJK\vsO@
\ No newline at end of file
-------------------------------------------------------------------------------
-- Copyright (c) 2015 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.6
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_icon_4_port.vhd
-- /___/ /\ Timestamp : Sex Fev 20 10:39:02 BRST 2015
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_icon_4_port IS
port (
CONTROL0: inout std_logic_vector(35 downto 0);
CONTROL1: inout std_logic_vector(35 downto 0);
CONTROL2: inout std_logic_vector(35 downto 0);
CONTROL3: inout std_logic_vector(35 downto 0));
END chipscope_icon_4_port;
ARCHITECTURE chipscope_icon_4_port_a OF chipscope_icon_4_port IS
BEGIN
END chipscope_icon_4_port_a;
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2:67=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0122?72<:9:;86<>0134?60=AGE^X7M@NUPLH5:4=3:5=:5<6;KMKPR=KFD_^BB><2794;703:<1ECAZT;ALJQTHD;6897>116902?OIG\^1OB@[RNN0863=87;<7>85IOMVP?EHF]XD@92<5;2=52=4>3CECXZ5CNLWVJJ24:?1<3?4459745633?<:<<574::3457730;3=4>7003354678=1JI=:8;CQ@EKC5<2H^JJ64BTQ\MK@H92I<7NO_TTPF6>EB9;1HDHMD_MK@AKUBW]S[I?5LI59@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K849@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;?6MCR89@KHKN\]OOn6M@NUPLH5:76j1HCCZ]OM2?558d3JEEX_AC0=32:f=DGG^YCA>313<`?FII\[EG<1?<>b9@KKRUGE:7=90l;BMMPWIK85;>2n5LOOVQKI6;9?4h7NAATSMO49706j1HCCZ]OM2?5=8d3JEEX_AC0=3::g=DGG^YCA>31?a8GJHSZFF;0?>1c:ALJQTHD969=3m4CNLWVJJ74;85o6M@NUPLH5:5;7i0OB@[RNN38729k2IDBY\@L1>11;e<KFD_^BB?<34=g>EHF]XD@=2=7?a8GJHSZFF;0?61c:ALJQTHD96953l4CNLWVJJ74;4h7NAATSMO49576j1HCCZ]OM2?748d3JEEX_AC0=11:f=DGG^YCA>332<`?FII\[EG<1=;>d9@KKRUGE:7?84?>b9@KKRUGE:7?80m;BMMPWIK8595n6M@NUPLH5:36k1HCCZ]OM2?1;d<KFD_^BB?<7<a?FII\[EG<191b:ALJQTHD9632o5LOOVQKI6;17h0OB@[RNN2858d3JEEX_AC1=33:f=DGG^YCA?310<`?FII\[EG=1?=>b9@KKRUGE;7=>0l;BMMPWIK95;?2n5LOOVQKI7;9<4h7NAATSMO59716j1HCCZ]OM3?528d3JEEX_AC1=3;:f=DGG^YCA?318<a?FII\[EG=1?1c:ALJQTHD869<3m4CNLWVJJ64;;5o6M@NUPLH4:5:7i0OB@[RNN28759k2IDBY\@L0>10;e<KFD_^BB><37=g>EHF]XD@<2=6?a8GJHSZFF:0?91c:ALJQTHD86943m4CNLWVJJ64;35n6M@NUPLH4:56j1HCCZ]OM3?758d3JEEX_AC1=12:f=DGG^YCA?333<`?FII\[EG=1=<>b9@KKRUGE;7?90j;BMMPWIK959>6=0l;BMMPWIK959>2o5LOOVQKI7;;7h0OB@[RNN2818e3JEEX_AC1=7=f>EHF]XD@<29>c9@KKRUGE;7;3l4CNLWVJJ6414i7NAATSMO59?9j2IDBY\@L3>3:f=DGG^YCA<311<`?FII\[EG>1?>>b9@KKRUGE87=?0l;BMMPWIK:5;82n5LOOVQKI4;9=4h7NAATSMO69726j1HCCZ]OM0?538d3JEEX_AC2=34:f=DGG^YCA<319<`?FII\[EG>1?6>c9@KKRUGE87=3m4CNLWVJJ54;:5o6M@NUPLH7:597i0OB@[RNN18749k2IDBY\@L3>17;e<KFD_^BB=<36=g>EHF]XD@?2=5?a8GJHSZFF90?81c:ALJQTHD;69;3m4CNLWVJJ54;25o6M@NUPLH7:517h0OB@[RNN1878d3JEEX_AC2=13:f=DGG^YCA<330<`?FII\[EG>1==>b9@KKRUGE87?>0l;BMMPWIK:59?2h5LOOVQKI4;;<0;2n5LOOVQKI4;;<4i7NAATSMO6959j2IDBY\@L3>7:g=DGG^YCA<35?`8GJHSZFF90;0m;BMMPWIK:5=5n6M@NUPLH7:?6k1HCCZ]OM0?=;d<KFD_^BB<<1<`?FII\[EG?1??>b9@KKRUGE97=<0l;BMMPWIK;5;92n5LOOVQKI5;9:4h7NAATSMO79736j1HCCZ]OM1?508d3JEEX_AC3=35:f=DGG^YCA=316<`?FII\[EG?1?7>b9@KKRUGE97=40m;BMMPWIK;5;5o6M@NUPLH6:587i0OB@[RNN08779k2IDBY\@L2>16;e<KFD_^BB<<31=g>EHF]XD@>2=4?a8GJHSZFF80?;1c:ALJQTHD:69:3m4CNLWVJJ44;=5o6M@NUPLH6:507i0OB@[RNN087?9j2IDBY\@L2>1:f=DGG^YCA=331<`?FII\[EG?1=>>b9@KKRUGE97??0l;BMMPWIK;5982n5LOOVQKI5;;=4n7NAATSMO7952294h7NAATSMO79526k1HCCZ]OM1?7;d<KFD_^BB<<5<a?FII\[EG?1;1b:ALJQTHD:6=2o5LOOVQKI5;?7h0OB@[RNN08=8e3JEEX_AC3=;=f>EHF]XD@92?>b9@KKRUGE>7==0l;BMMPWIK<5;:2n5LOOVQKI2;9;4h7NAATSMO09746j1HCCZ]OM6?518d3JEEX_AC4=36:f=DGG^YCA:317<`?FII\[EG81?8>b9@KKRUGE>7=50l;BMMPWIK<5;22o5LOOVQKI2;97i0OB@[RNN78769k2IDBY\@L5>15;e<KFD_^BB;<30=g>EHF]XD@92=3?a8GJHSZFF?0?:1c:ALJQTHD=6993m4CNLWVJJ34;<5o6M@NUPLH1:5?7i0OB@[RNN787>9k2IDBY\@L5>1=;d<KFD_^BB;<3<`?FII\[EG81=?>b9@KKRUGE>7?<0l;BMMPWIK<5992n5LOOVQKI2;;:4h7NAATSMO09536l1HCCZ]OM6?70<76j1HCCZ]OM6?708e3JEEX_AC4=1=f>EHF]XD@92;>c9@KKRUGE>793l4CNLWVJJ34?4i7NAATSMO0919j2IDBY\@L5>;:g=DGG^YCA:39?`8GJHSZFF>0=0l;BMMPWIK=5;;2n5LOOVQKI3;984h7NAATSMO19756j1HCCZ]OM7?568d3JEEX_AC5=37:f=DGG^YCA;314<`?FII\[EG91?9>b9@KKRUGE?7=:0l;BMMPWIK=5;32n5LOOVQKI3;904i7NAATSMO1979k2IDBY\@L4>14;e<KFD_^BB:<33=g>EHF]XD@82=2?a8GJHSZFF>0?=1c:ALJQTHD<6983m4CNLWVJJ24;?5o6M@NUPLH0:5>7i0OB@[RNN68719k2IDBY\@L4>1<;e<KFD_^BB:<3;=f>EHF]XD@82=>b9@KKRUGE?7?=0l;BMMPWIK=59:2n5LOOVQKI3;;;4h7NAATSMO19546j1HCCZ]OM7?718b3JEEX_AC5=16>58d3JEEX_AC5=16:g=DGG^YCA;33?`8GJHSZFF>090m;BMMPWIK=5?5n6M@NUPLH0:16k1HCCZ]OM7?3;d<KFD_^BB:<9<a?FII\[EG9171159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F1?AOd3MCXMOBJ_KVBC1=CZJA?7H8?149EEIUB991MH:;M923FG32F0;:87KJL4:DGG@5<NMO87KJ]4:DGV@7<O:1LBI?4I39J47=N9;1B>?5F339J07=N=01BBDZ\T@VF7>OI^h1AXLIPCICJJ7=KA;1GC;5COBIF@2=KGNCHMA:4LTV20>JR\;>0@XZ;4:NVP31<E\RHURB:;LW[B03<E\RM:?5A059M60033G8>;95A24:7?K4>9=1E>4<;;O0:71=I;;<?7C==759M77>33G9==95A3707?K51;=1E?48;;O1:31=I;02?7C:<159M06433G>8?:5AEUULVN0<FFIGE]94NNOFVBC63F;<7B^[ILKYAZVUADC_E[K\_OE@5>V03Y$=4iQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD<0\^J@ND38U4=U=2XN_HZ8;SWMP@US92Y87^KC5:QKMCR692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO=7^AZRBG5?VRF\\Y87YMD3:VGM6=SLF90X@];;U[SA6b<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[D1)AZSE"DQZSD]PKCRE[JKESH_?.T]AW32<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[PUBWZEMXO]LAO]FU5(NW\YNSU][_UAH[RIUCHXDYCJPEP3-QZODGGUI_NOA_CWEC*SXJ\LL>;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<<R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:?P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8>V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT0\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU?]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV??]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV??]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?>]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?>]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?=]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?=]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?<]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?<]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?;]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?;]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?:]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?:]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV?R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW<S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP>P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ9Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR9V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS:W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\<T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]3U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^3Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_0[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX2X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY5Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ5^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[6_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT8\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU7]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV7R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW4S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP<P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ;Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;;Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;;Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;:Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;:Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;9Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;9Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;8Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;8Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;?Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;?Q#[PLBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;>Q#[PHBG1`>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;>Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR;V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS8W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U=]/D\GHB\:T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]5U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W?S!F^AN@^5Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_6[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX0X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY7Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ7^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[4_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT6\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU9]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV9R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW:S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP4P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ3Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR3V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS0W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\8T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V=R.G]@IA]7U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^77U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^77U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^76U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^76U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^75U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^75U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^74U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^74U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^73U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^73U'_T@NK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^72U'_TDNK=d:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^72U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^7Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP?P I_BOG_4[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ8Q#HPCLFX6X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR9V"KQLMEY1Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS:W%JRMBDZ1^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\;T$MSNCK[2_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]4U'LTO@JT4\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^5Z&OUHAIU;]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_6[)NVIFHV;R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX7X(AWJGOW8S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP:P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ1^*CYDEMQ=Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[2_-BZEJLR=V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS>W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\0T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V=R.G]@IA]?U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^?Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP?P I_BOG_<[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ?Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR>V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\<T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]3U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^2Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_1[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX0X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY7Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ6^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[5_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T4\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U;]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V:R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W9S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP8P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ?Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR>V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\<T$MSNCK[8_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]2U'LTO@JT0\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^3Z&OUHAIU?]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV??]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV??]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?>]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?>]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?=]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?=]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?<]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?<]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?;]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?;]/W\HFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?:]/W\LFC5l2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?:]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV?R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX1X(AWJGOW<S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY6Y+@XKDNP>P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ7^*CYDEMQ9Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[4_-BZEJLR9V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T5\,E[FKCS:W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U:]/D\GHB\<T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V;R.G]@IA]3U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W8S!F^AN@^3Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP9P I_BOG_0[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ>Q#HPCLFX2X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR?V"KQLMEY5Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS<W%JRMBDZ5^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\=T$MSNCK[6_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]2U'LTO@JT8\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^3Z&OUHAIU7]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV7R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX1X(AWJGOW4S!U^N@A4c<]9%^SDM@N.W\GQTKWF__#XQLMEEQT57b3\:$YRGLOO-V[FRUDVE^X"[PCLFDVU7592_;#XQFCNL,QZESZEUDYY!Z_ECWEZPFD@N946[?/T]JGJH(]VI_^AQ@UU-jGJKJIGNTK_^PSDN?4;4?3\:$YRGLOO-V[FRUDVE^X"gLOLOBJAY@ZYUXIA2>>328Q5)RW@IDB"[PCUPO[JSS'`NJXLQYAMKG64=R8&_TENAA/T]PPDR(NVY_MYU?]/W\WQGS:81^<"[PIBMM+PYT\H^$JR][AUY2Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]5U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q8Q#[PSUCW5d=R8&_TENAA/T]PPDR(]VIFHJ\_00f8Q5)RW@IDB"[PSUCW+PYCI]KTZLBFD0c8Q5)RW@IDB"[PSUCW+PYT\H^HAI?l;T2,QZODGG%^S^ZNT.W\WQGSKDNTb??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2>>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>1:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:46;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@6?2??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2:>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=2=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G848582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*B;:78;7X> U^K@KK)RWZ^JX"[PSUCW[FHS'M682?>4U1-V[LEHF&_T_YO[/T]PPDRXKG^$H1:1219V4*SXAJEE#XQ\T@V,QZUSI]UHBY!K<4<1g>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX4X(@fA$^S@[WCX04?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY3Y+SXNMXN>;5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW=S!U^NVP7><]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^6Z&\USC_MV2b9V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[0_-CkN)]VG^TNW=7:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT1\,V[CBUM;<0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR;V"XQCUU0;?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY2Y+SXPFXHU?m4U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV<R.FlK*PYJ]QIR>:5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW?S!U^DGV@413\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]5U'_T@XZ=8:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT2\,V[]IUKP8h7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ8Q#IaH/W\IP^DQ;=0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR9V"XQIDSG12>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX7X(RWE__>55Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW>S!U^ZLVF_5k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\<T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]3U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[5_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR>V"XQWOSAZ62=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_0[)]VLO^H<9;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU:]/W\HPR502_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\=T$^SUA]CX03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>3:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W979:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)T4;49<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&Y7?3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#^2;>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ S=7=5f=R8&_TENAA/T]PPDR(]VY_MYQGIFJ2f>S7'\UBOB@ U^QWEQ)RWZ^JXRB@W048Q5)RW@IDB"[PSUCW+PYSLF;i7X> U^K@KK)RWZ^JX"[PTEM\j`~s9l1^<"[PIBMM+PYT\H^$eNCK_FPS4ZUBD8i0Y=!Z_HALJ*SX[]K_#dJNT@]UEIOC901^<"[PIBMM+PYT\H^$e^ZNT=2=5<=R8&_TENAA/T]PPDR(aZ^JX1?1189V4*SXAJEE#XQ\T@V,mVRF\585=45Z0.W\MFII'\UXXLZ iRVBP9599j1^<"[PIBMM+PYT\H^$e^ZNTBOG[FC6m2_;#XQFCNL,QZUSI]%b_YO[CLF\G@Yi9m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9699m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9799m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9499m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9599m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9299m1^<"[PIBMM+PYT\H^$e^ZNT^AMP9399k1^<"[PIBMM+PYT\H^$e^ZNT^JJCM7f3\:$YRGLOO-V[VRF\&cXXLZPLNU2e>S7'\UBOB@ U^QWEQ)n\METbhv{2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT0\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV?R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP>P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR9V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\<T$BSCK?.T]E@W4e3\:$YRGLOO-V[V_IK&MT_T@L_VMQ@^3Z&@UEI= Z_GFQ6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX2X(NWL[;"XQIDS3a?P6(]VCHCC!Z_R[MG*SXOF^T_T@L1e9V4*SXAJEE#XQ\YOA,QZAH\VYRBNQG1e9V4*SXAJEE#XQ\YOA,QZAH\VYRBNQC169V4*SXAJEE#XQ\YOA,QZU^FJ;n7X> U^K@KK)RWZSEO"[PiECWEZEJLVd:o6[?/T]JGJH(]VYRBN!fD@VB[FKCWg;j7X> U^K@KK)RWZSEO"gHOU]P]KE6n2_;#XQFCNL,QZU^FJ%bKBZPSXL@[MO@@8o0Y=!Z_HALJ*SX[PDH#dI@T^QZJFYKG^;n7X> U^K@KK)RWZSEO"g\YOA\SJTC494:i6[?/T]JGJH(]VYRBN!fSXL@[RIUL5;5=h5Z0.W\MFII'\UXUCM iR[MGZQHZM692<k4U1-V[LEHF&_T_T@L/hQZJFYPG[N7?3?j;T2,QZODGG%^S^WAC.kP]KEX_FXO090>e:W3+PYNKFD$YR]VNB-jW\HDW^EYH1;11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I29>018Q5)RW@IDB"[PTEK\v`a5n2_;#XQFCNL,QZRCGVG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH:::0Y=!Z_HALJ*SX\METAXV U^AP[HS_'@>%YRCZX04,IhsWF8:?=5Z0.W\MFII'\U_HBQBUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?<<0:W3+PYNKFD$YRZKO^OV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@2230?P6(]VCHCC!Z_UFL[wc`9<1^<"[PIBMM+PYnLH^JSNCK169V4*SXAJEE#XQfD@VB[FKCWg;97X> U^K@KK)RW`YN@R`>9:W3+PYNKFD$eNABM@LG[BTW494:56[?/T]JGJH(aJEFAL@K_FPS848612_;#XQFCNL,mFIJEHDOS^KC<1<2e>S7'\UBOB@ iBMNIDHCWZOG0<>11`9V4*SXAJEE#dM@MLCM@ZUBD5;:2<o4U1-V[LEHF&cHC@CNNE]PAI:6:7;j7X> U^K@KK)nKFGFMCJPSDN?5686i2_;#XQFCNL,mFIJEHDOS^KC<06=5d=R8&_TENAA/hALIHGILVYN@1?:>0;8Q5)RW@IDB"gLOLOBJAYTME6:2<74U1-V[LEHF&cHC@CNNE]PAI:56830Y=!Z_HALJ*oDGDGJBIQ\EM>0:4?<]9%^SDM@N.k@KHKFFMUXIA2;>0;8Q5)RW@IDB"gLOLOBJAYTME6>2<74U1-V[LEHF&cHC@CNNE]PAI:16830Y=!Z_HALJ*oDGDGJBIQ\EM>4:4?<]9%^SDM@N.k@KHKFFMUXIA27>0;8Q5)RW@IDB"gLOLOBJAYTME622<;4U1-V[LEHF&cHC_KPIE>3:43<]9%^SDM@N.k@KWCXAM6:2<;4U1-V[LEHF&cHC_KPIE>1:43<]9%^SDM@N.k@KWCXAM682<74U1-V[LEHF&cHC_KPIE]PAI:768k0Y=!Z_HALJ*oDG[OTEIQ\EM>21;7>3\:$YRGLOO-jGJTBW@NT_HB31?3:?P6(]VCHCC!fCNPF[LBX[LF7>3?6;T2,QZODGG%bOB\J_HF\W@J;;7;27X> U^K@KK)nKFXNSDJPSDN?0;7>3\:$YRGLOO-jGJTBW@NT_HB35?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQae:W3+PYNKFD$e^KC119V4*SXAJEE#d]JL^le?P6(]VCHCC!fSXL@a>S7'\UBOB@ iUFJa>S7'\UBOB@ iUFL53=R8&_TENAA/hVGKZPBK5;>2<=4U1-V[LEHF&c_HBQaeyvg?P6(aZBBJYQ@UUg8Q5)n]YNJXHQ@UU48QUBF\Li0Y^K]_WKPMGJB;2\HO45YIDU\P\VB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm7>^t|:1Ri~=m;^]\\IHJWVU;SRQZ0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__?n5P_^ZOJHYXW8:;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR?V"KQLMEY7Y+SXDJO8o6QP_YNMIZYX99;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS<W%JRMBDZ7^*PYKKL9h7RQPXMLN[ZY68;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\=T$MSNCK[7_-QZJDM:i0SRQWLOO\[Z77;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]2U'LTO@JT7\,V[IEB;j1TSRVCNL]\[463WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^3Z&OUHAIU7]/W\HFC4k2UTSUBAM^]\553XWV_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV7R.T]OG@4b3VUTTA@B_^]243YXW\:$YRGLOO-V[FRUDVE^X"[PCLFDVU6492UTSUBAM^]\551XWV_;#XQFCNL,QZESZEUDYY!Z_ECWEZPFD@N9n6QP_YNMIZYX992TSR[?/T]JGJH(]VYRBN!Z_FMW[V_IK:h0SRQWLOO\[Z77WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU?]/W\HFC4j2UTSUBAM^]\54YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW=S!U^N@A6d<WVUS@CCP_^31[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ;Q#[PLBG0f>YXWQFEARQP12]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS9W%YRBLE2`8[ZY_DGGTSR?;_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V:R.G]@IA]7U'_T@NK<b:]\[]JIEVUT=8QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP9P I_BOG_5[)]VFHI>m4_^][HKKXWV;=SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY24X(RWEIN?n5P_^ZOJHYXW8=TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO8o6QP_YNMIZYX91UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[00^*PYKKL9h7RQPXMLN[ZY61VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT12_-QZJDM:h0SRQWLOO\[Z7XWV_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV?=]/W\HPR4k2UTSUBAM^]\65YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW<:R.T]OG@5d3VUTTA@B_^]15ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=8S!U^N@A6e<WVUS@CCP_^01[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ:<P Z_MAF7f=XWVRGB@QP_31\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR;:Q#[PLBG0g>YXWQFEARQP25]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS88V"XQCCD1`?ZYXPEDFSRQ=5^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9:W%YRBLE2a8[ZY_DGGTSR<9_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6<T$^SAMJ3b9\[Z^KFDUTS?9P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^72U'_T@NK<c:]\[]JIEVUT>5QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_46Z&\UGOH=l;^]\\IHJWVU95RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX54[)]VFHI>l4_^][HKKXWV8TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ33Y+SXD\^8o6QP_YNMIZYX;9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[00^*PYKKL9h7RQPXMLN[ZY49VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT12_-QZJDM:i0SRQWLOO\[Z55WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU>4\,V[IEB;j1TSRVCNL]\[65XWV_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV?:]/W\HFC4k2UTSUBAM^]\71YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX7X(AWJGOW<>R.T]OG@5d3VUTTA@B_^]01ZYX]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP=<S!U^N@A6e<WVUS@CCP_^15[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ1^*CYDEMQ:>P Z_MAF7f=XWVRGB@QP_25\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[2_-BZEJLR;8Q#[PLBG0g>YXWQFEARQP39]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS8>V"XQCCD1`?ZYXPEDFSRQ<9^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\9<W%YRBLE2c8[ZY_DGGTSR=P_^W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY=l;^]\\IHJWVU?<RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ?Q#HPCLFX55[)]VFHI>m4_^][HKKXWV>:SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR>V"KQLMEY25X(RWEIN?n5P_^ZOJHYXW=8TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ31Y+SXDJO8o6QP_YNMIZYX<:UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\<T$MSNCK[01^*PYKKL9h7RQPXMLN[ZY3<VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]3U'LTO@JT15_-QZJDM:i0SRQWLOO\[Z22WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^2Z&OUHAIU>5\,V[IEB;j1TSRVCNL]\[10XWV_;#XQFCNL,QZESZEUDYY!I_OAS_0[)NVIFHV??]/W\HFC4k2UTSUBAM^]\02YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX1X(AWJGOW<?R.T]OG@5d3VUTTA@B_^]7<ZYX]9%^SDM@N.W\GQTKWF__#KQACQY6Y+@XKDNP=?S!U^N@A6e<WVUS@CCP_^6:[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ7^*CYDEMQ:?P Z_MAF7d=XWVRGB@QP_5]\[P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS;W%YRBZT2a8[ZY_DGGTSR;?_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V;R.G]@IA]6<T$^SAMJ3b9\[Z^KFDUTS8?P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W8S!F^AN@^72U'_T@NK<b:]\[]JIEVUT9?QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_4[)]VFHI>l4_^][HKKXWV?8SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY1Y+SXDJO8n6QP_YNMIZYX==UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[2_-QZJDM:h0SRQWLOO\[Z32WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU;]/W\HFC4j2UTSUBAM^]\13YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW8S!U^N@A6d<WVUS@CCP_^74[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ=Q#[PLBG0f>YXWQFEARQP59]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS>W%YRBLE2`8[ZY_DGGTSR;6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]?U'_T@NK<a:]\[]JIEVUT9RQPU1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX4X(RWE__?o5P_^ZOJHYXW?:TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYKKL9i7RQPXMLN[ZY19VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT1\,V[IEB;k1TSRVCNL]\[34XWV_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV<R.T]OG@5e3VUTTA@B_^]57ZYX]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP?P Z_MAF7g=XWVRGB@QP_76\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR>V"XQCCD1a?ZYXPEDFSRQ95^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\=T$^SAMJ3c9\[Z^KFDUTS;8P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^0Z&\UGOH=m;^]\\IHJWVU=;RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX3X(RWEIN?o5P_^ZOJHYXW?2TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ:^*PYKKL9i7RQPXMLN[ZY11VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT9\,V[IEB;h1TSRVCNL]\[3YXW\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW5S!U^NVP6d<WVUS@CCP_^53[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ:Q#[PLBG0f>YXWQFEARQP70]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS;W%YRBLE2`8[ZY_DGGTSR9=_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]4U'_T@NK<b:]\[]JIEVUT;>QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_1[)]VFHI>l4_^][HKKXWV=?SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY6Y+SXDJO8n6QP_YNMIZYX?<UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[7_-QZJDM:h0SRQWLOO\[Z11WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU8]/W\HFC4j2UTSUBAM^]\32YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW5S!U^N@A6d<WVUS@CCP_^5;[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ2Q#[PLBG0f>YXWQFEARQP78]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T3\,E[FKCS8W%YRBLE2c8[ZY_DGGTSR9P_^W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^0Z&\UGYY=m;^]\\IHJWVU3<RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ8Q#HPCLFX6X(RWEIN?o5P_^ZOJHYXW1;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS:W%JRMBDZ1^*PYKKL9i7RQPXMLN[ZY?:VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]4U'LTO@JT4\,V[IEB;k1TSRVCNL]\[=5XWV_;#XQFCNL,QZESZEUDYY!I_OAS_6[)NVIFHV;R.T]OG@5e3VUTTA@B_^];0ZYX]9%^SDM@N.W\GQTKWF__#KQACQY0Y+@XKDNP:P Z_MAF7g=XWVRGB@QP_97\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[2_-BZEJLR=V"XQCCD1a?ZYXPEDFSRQ76^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U<]/D\GHB\0T$^SAMJ3c9\[Z^KFDUTS59P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W>S!F^AN@^?Z&\UGOH=m;^]\\IHJWVU34RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ?Q#HPCLFX5X(RWEIN?o5P_^ZOJHYXW13TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS=W%JRMBDZ0^*PYKKL9j7RQPXMLN[ZY?WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU;]/W\HPR4j2UTSUBAM^]\=5YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX0X(AWJGOW>S!U^N@A6d<WVUS@CCP_^;2[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ6^*CYDEMQ?Q#[PLBG0f>YXWQFEARQP93]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T4\,E[FKCS<W%YRBLE2`8[ZY_DGGTSR7<_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V:R.G]@IA]1U'_T@NK<b:]\[]JIEVUT59QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP8P I_BOG_2[)]VFHI>l4_^][HKKXWV3>SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR>V"KQLMEY;Y+SXDJO8n6QP_YNMIZYX1?UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\<T$MSNCK[8_-QZJDM:h0SRQWLOO\[Z?0WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^3Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\==YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX1X(AWJGOW?S!U^N@A6d<WVUS@CCP_^;:[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ7^*CYDEMQ8Q#[PLBG0e>YXWQFEARQP9^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\:T$^SA[[d:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg;>7nffpralt`YnkfdT:R~`ru15?fnnxzid|hQfcnl\2Zvhz}&hdd~|cnrf[lehfV|:S=8Pa-LLJ@*IGGO8:k5lhhrpgjvbW`idbR8Ppnpw(fnnxzid|hQfcnl\r4Y7>Vk'wnQzsd]marYwi{yn~0>#c^z`kZjn{}7Ey`ljr^AljqthdVZd~y}26:WpaZC|VHxol`2f`npa>Stm{UXol`PCicjj8STM[;0Icomld]IpdaXJ|ll1y|ze:WpaZSi}zooSO}lao?eeiub3\ynS^aitcq`ek;aieyn nQwddtjg``fe`fr1l|{iy5/gZehzlUu}k21-a\idlhzV|n~~g`n<37(fYjageyS{k}shmm93*dWkb`iQ}ewkpmjh:8%iTob|j_lcikwYqm{7: nQlosg\ilhhzV|n~0<#c^alv`YjageySlbh`]uaw;>?%iTakiPie?2(fYr{lUiyk|20-a\qvcX{`g6<!mPurg\wj`sjzijb0>#c^rbvqYnljeoiR|jghqwaw;7$jU~hQet`e\fp``58&hSc{b_bmmpwikWyeyx~39,b]vw`Ydgg~yca>21-a\qvcXkfd~bb>=0.`[pubWjeexac2<3/gZstmVidby|`l2?2(fYr{lUhccz}om6>5)eX}zoTob`{rnn694*dW|ynSnaatsmo286+kVxiRm`nuplh2;7$jU~hQloovqki>:8%iTy~kPcnlwvjj>59&hSx}j_bmmpwik997; nQzsd]`kkruge;:1="l_tqf[fii|{eg=?3?,b]vw`Ydgg~yca?<=1.`[pubWjeexac15?3(fYr{lUntyQmsbcm95*dW|ynSx`zsdf\fveff4:'oR{|e^zppZrdcV}d~fo}otlg94*dWlrja}bj_egpmbh:nhfxi!mPcnlppwgnf}Uu}k2eyvfvkgk$jU~h|Psbcm[fnfag7: p==;bjjtvehxlUbob`Pv0]32Zg+(Qcgecv/CNPF$Bcim{kc.>4/44?firf}oy:6m|_lw{<>etWds=;o4ibmm[gudigl0enaa_cq`ekYe}omm7dm`n^an`Zbbkfnn56gloo]`kwcc3`idbRm{rm]lqqd<ajeeS~znttq:?lehfVyrbn<4nn31?}e0i;:;x hig0362(7zHIzj<<5O@y141?@=:3;p_<?m:220>43?2898;:ml:0g302}i;9;1=6`<0385?!57838mm6s\3c8046<6=10:?>98cb82a52f3m9<97>51;3xW47e2::86<;7:01032ed28o;8:5yT044>5<6280:?lu\10`9755=9<21=>=87ba95`63?2.9j44>369'50?=;1>0n>9::18g<?75i3;8mvF=f69'53b=;>?0V?=56z37>43=9?0:;7?7:0;9y!4an39<46*=7;142>"69h08;:5+17494>"6><08<95$5`83>!72j3?37c?:a;03?>-0n3:1(<;m:6f8j43f2;:07&o;:18'50d=i;1e=8o52198/f?=83.:9o4l7:l21d<5821 ih4?:%36f?cd3g;>m7<?;:)256<72-;>n7?>1:l21d<5821 944?:%36f?3?3g;>m7>4;*74>5<#9<h1955a14c95>=,=?0;6)?:b;7;?k72i3807&;::18'50d==11e=8o53:9(11<72-;>n7;7;o36e?2<3"?86=4+14`91==i9<k1965$5083>!72j3?37c?:a;48?.37290/=8l5599m50g=?21 8k4?:%36f?3?3g;>m764;*6f>5<#9<h1955a14c9=>=,<m0;6)?:b;7;?k72i3k07&:l:18'50d==11e=8o5b:9(0g<72-;>n7;7;o36e?e<3">j6=4+14`91==i9<k1h65$4883>!72j3?37c?:a;g8?.2?290/=8l5599m50g=n21 8;4?:%36f?3?3g;>m7??;:)71?6=,8?i6864n07b>47<3">?6=4+14`91==i9<k1=?54+5194?"6=k0>46`>5`827>=,<;0;6)?:b;7;?k72i3;?76%;1;29 43e2<20b<;n:078?.27290/=8l5599m50g=9?10'>h50;&21g<202d:9l4>7:9(7`<72-;>n7;7;o36e?7?32!8h7>5$07a>0><f8?j6<74;*43>5<#9<h1955a14c95d=<#<l1<7*>5c86<>h6=h0:n65$5d83>!72j3?37c?:a;3`?>-2l3:1(<;m:4:8j43f28n07&;l:18'50d==11e=8o51d98/0d=83.:9o4:8:l21d<6n21 9?4?:%36f?3?3g;>m7<>;:)73?6=,8?i6864n07b>74<3"9h6=4+14`91==i9<k1>>54+6g94?"6=k0<h6`>5`83?>-0k3:1(<;m:6f8j43f2810':l50;&21g<0l2d:9l4=;:)4e?6=,8?i6:j4n07b>6=<#>31<7*>5c84`>h6=h0?76%88;29 43e2>n0b<;n:498/20=83.:9o48d:l21d<132!<97>5$07a>2b<f8?j6:54+6694?"6=k0<h6`>5`8;?>-0;3:1(<;m:6f8j43f2010':<50;&21g<0l2d:9l4n;:)45?6=,8?i6:j4n07b>g=<#>:1<7*>5c84`>h6=h0h76%9f;29 43e2>n0b<;n:e98/3c=83.:9o48d:l21d<b32!=h7>5$07a>2b<f8?j6k54+7`94?"6=k0<h6`>5`824>=,>h0;6)?:b;5g?k72i3;:76%99;29 43e2>n0b<;n:008?.0?290/=8l57e9m50g=9:10';950;&21g<0l2d:9l4>4:9(23<72-;>n79k;o36e?7232!=97>5$07a>2b<f8?j6<84;*47>5<#9<h1;i5a14c952=<#?91<7*>5c84`>h6=h0:465$6383>!72j3=o7c?:a;3:?>-?=3:1(<;m:6f8j43f28k07&6;:18'50d=?m1e=8o51c98/=5=83.:9o48d:l21d<6k21 4?4?:%36f?1c3g;>m7?k;:);5?6=,8?i6:j4n07b>4c<3"2;6=4+14`93a=i9<k1=k54+6594?"6=k0<h6`>5`815>=,>j0;6)?:b;5g?k72i38976%91;29 43e2>n0b<;n:318?.g4290/=8l5a39m50g=821 m<4?:%36f?g53g;>m7?4;*c3>5<#9<h1m?5a14c96>=,1o0;6)?:b;c1?k72i3907&7j:18'50d=i;1e=8o54:9(=a<72-;>n7o=;o36e?3<3"3i6=4+14`9e7=i9<k1:65$9`83>!72j3k97c?:a;58?.?>290/=8l5a39m50g=021 554?:%36f?g53g;>m774;*;4>5<#9<h1m?5a14c9e>=,1?0;6)?:b;c1?k72i3h07&7::18'50d=i;1e=8o5c:9(=1<72-;>n7o=;o36e?b<3"386=4+14`9e7=i9<k1i65$9383>!72j3k97c?:a;d8?.?7290/=8l5a39m50g=9910'5h50;&21g<f:2d:9l4>1:9(<`<72-;>n7o=;o36e?7532!3h7>5$07a>d4<f8?j6<=4;*:`>5<#9<h1m?5a14c951=<#1h1<7*>5c8b6>h6=h0:965$8`83>!72j3k97c?:a;35?>-?13:1(<;m:`08j43f28=07&67:18'50d=i;1e=8o51998/=1=83.:9o4n2:l21d<6121 ml4?:%36f?g53g;>m7?n;:)b=?6=,8?i6l<4n07b>4d<3"k36=4+14`9e7=i9<k1=n54+`594?"6=k0j>6`>5`82`>=,i?0;6)?:b;c1?k72i3;n76%n5;29 43e2h80b<;n:0d8?.?d290/=8l5a39m50g=:810'4?50;&21g<f:2d:9l4=2:9(<3<72-;>n7o=;o36e?4432!h47>5$07a>f1<f8?j6=54+b494?"6=k0h;6`>5`82?>-d=3:1(<;m:b58j43f2;10'n:50;&21g<d?2d:9l4<;:)`7?6=,8?i6n94n07b>1=<#j81<7*>5c8`3>h6=h0>76%l0;29 43e2j=0b<;n:798/g`=83.:9o4l7:l21d<032!ii7>5$07a>f1<f8?j6554+cf94?"6=k0h;6`>5`8:?>-ek3:1(<;m:b58j43f2h10'ol50;&21g<d?2d:9l4m;:)ae?6=,8?i6n94n07b>f=<#k31<7*>5c8`3>h6=h0o76%m8;29 43e2j=0b<;n:d98/g1=83.:9o4l7:l21d<a32!i97>5$07a>f1<f8?j6<>4;*`7>5<#9<h1o:5a14c954=<#k91<7*>5c8`3>h6=h0:>65$b383>!72j3i<7c?:a;30?>-e93:1(<;m:b58j43f28>07&l?:18'50d=k>1e=8o51498/d`=83.:9o4l7:l21d<6>21 mh4?:%36f?e03g;>m7?8;:)b`?6=,8?i6n94n07b>4><3"kh6=4+14`9g2=i9<k1=454+bd94?"6=k0h;6`>5`82e>=,kl0;6)?:b;a4?k72i3;i76%ld;29 43e2j=0b<;n:0a8?.ed290/=8l5c69m50g=9m10'nl50;&21g<d?2d:9l4>e:9(gd<72-;>n7m8;o36e?7a32!h=7>5$07a>f1<f8?j6??4;*`5>5<#9<h1o:5a14c967=<#hh1<7*>5c8`3>h6=h09?65$ee83>!72j3oh7c?:a;28?.ce290/=8l5eb9m50g=921 il4?:%36f?cd3g;>m7<4;*g:>5<#9<h1in5a14c97>=,m10;6)?:b;g`?k72i3>07&k8:18'50d=mj1e=8o55:9(a0<72-;>n7kl;o36e?0<3"o?6=4+14`9af=i9<k1;65$e283>!72j3oh7c?:a;:8?.c5290/=8l5eb9m50g=121 i<4?:%36f?cd3g;>m7o4;*g3>5<#9<h1in5a14c9f>=,lo0;6)?:b;g`?k72i3i07&jj:18'50d=mj1e=8o5d:9(`a<72-;>n7kl;o36e?c<3"nh6=4+14`9af=i9<k1j65$d`83>!72j3oh7c?:a;33?>-c13:1(<;m:da8j43f28;07&j7:18'50d=mj1e=8o51398/a1=83.:9o4jc:l21d<6;21 h;4?:%36f?cd3g;>m7?;;:)g1?6=,8?i6hm4n07b>43<3"n?6=4+14`9af=i9<k1=;54+e194?"6=k0no6`>5`823>=,l;0;6)?:b;g`?k72i3;376%k1;29 43e2li0b<;n:0;8?.`3290/=8l5eb9m50g=9h10'k=50;&21g<bk2d:9l4>b:9(b7<72-;>n7kl;o36e?7d32!m=7>5$07a>`e<f8?j6<j4;*d3>5<#9<h1in5a14c95`=<#ll1<7*>5c8fg>h6=h0:j65$e783>!72j3oh7c?:a;02?>-cj3:1(<;m:da8j43f2;807&j?:18'50d=mj1e=8o52298/475290/=8l51038j43f2910'<??:18'50d=98;0b<;n:098/46a290/=8l51038j43f2;10'<>j:18'50d=98;0b<;n:298/46c290/=8l51038j43f2=10'<>l:18'50d=98;0b<;n:498/46f290/=8l51038j43f2?10'<>6:18'50d=98;0b<;n:698/46?290/=8l51038j43f2110'<>8:18'50d=98;0b<;n:898/461290/=8l51038j43f2h10'<>::18'50d=98;0b<;n:c98/463290/=8l51038j43f2j10'<><:18'50d=98;0b<;n:e98/465290/=8l51038j43f2l10'<>>:18'50d=98;0b<;n:g98/c`=83.:9o4>109m50g=9910'kk50;&21g<6981e=8o51098/cb=83.:9o4>109m50g=9;10'km50;&21g<6981e=8o51298/cd=83.:9o4>109m50g=9=10'ko50;&21g<6981e=8o51498/c?=83.:9o4>109m50g=9?10'k650;&21g<6981e=8o51698/c1=83.:9o4>109m50g=9110'k850;&21g<6981e=8o51898/47>290/=8l51038j43f28k07&?>8;29 43e28;:7c?:a;3a?>-69>0;6)?:b;325>h6=h0:o65$10494?"6=k0:=<5a14c95a=<#8;>6=4+14`9547<f8?j6<k4;*320?6=,8?i6<?>;o36e?7a32!:<o4?:%36f?7692d:9l4=1:9(556=83.:9o4>109m50g=:;10'k;50;&21g<6981e=8o52298f7`b290:6=4?{%35`?4a02B9jn5G2g58k4302900qo<id;295?6=8r.::i4>289K6ce<@;l<7b?=8;29?xd6>o0;6?4?:1y'53b=:;1C>km4H3d4?!72>393?6a>5483>>o6:h0;66sm17g94?d=83:p(<8k:338L7`d3A8m;6`>3282?!76m3;9=6a>6583>>i6>h0;66a>6883>>i6>80;66a=f783>>i6>90;66a>6283>>o6>k0;66a=5;29?j71k3:17b?>f;29?xd5kj0;6?4?:1y'53b=9:80D?hl;I0e3>"6=?084>5f13c94?=h9<?1<75rb3ag>5<5290;w)?9d;306>N5nj1C>k94$075>6>43`;9m7>5;n361?6=3th9ol4?:583>5}#9?n1=?;4H3d`?M4a?2.:9;4<829'567=92c987>5;h05>5<<a8;h6=44o07f>5<<uk8h97>54;294~"6>m0:>85G2ga8L7`03-;>:7=73:&274<63`8?6=44i3494?=n98i1<75`14g94?=zj;ii6=4;:183!71l3;9?6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f3`83>>o69j0;66a>5d83>>{e90h1<7<50;2x 40c28997E<ic:J1b2=#9<<1?5=4i00b>5<<g8?>6=44}c3:g?6=;3:1<v*>6e827<=O:oi0D?h8;%362?5?;2.:?<4>a:&21f<48l1b=?l50;9j57e=831d=8;50;9~f410290>6=4?{%35`?75<2B9jn5G2g58 4312:287)?<1;38m72=831b>;4?::k0e?6=3`;:o7>5;n36a?6=3th:;54?:483>5}#9?n1=?:4H3d`?M4a?2.:9;4<829'567=92c987>5;h05>5<<a:k1<75f10a94?=h9<o1<75rb05:>5<2290;w)?9d;310>N5nj1C>k94$075>6>43-;8=7?4i3694?=n:?0;66g<a;29?l76k3:17b?:e;29?xd6?h0;684?:1y'53b=9;>0D?hl;I0e3>"6=?084>5+12395>o5<3:17d<9:188m6g=831b=<m50;9l50c=831vn<9>:186>5<7s-;=h7?=4:J1bf=O:o=0(<;9:2:0?!7493;0e?:50;9j63<722c8m7>5;h32g?6=3f;>i7>5;|`237<72<0;6=u+17f9572<@;lh7E<i7:&213<40:1/=>?51:k10?6=3`8=6=44i2c94?=n98i1<75`14g94?=zj8=86=4::183!71l3;986F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f2783>>o4i3:17d?>c;29?j72m3:17pl>7583>0<729q/=;j51368L7`d3A8m;6*>5780<6=#9:;1=6g=4;29?l412900e>o50;9j54e=831d=8k50;9~f412290>6=4?{%35`?75<2B9jn5G2g58 4312:287)?<1;38m72=831b>;4?::k0e?6=3`;:o7>5;n36a?6=3th:;;4?:483>5}#9?n1=?:4H3d`?M4a?2.:9;4<829'567=92c987>5;h05>5<<a:k1<75f10a94?=h9<o1<75rb0:2>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?57=2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5=6=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0`8 43d2::>7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6?o0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+123957=#9<i1?<74i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;<i7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<682.:9n4<189j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<9k:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493o0(<;l:207?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>7b83>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1h6*>5b8061=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0:b>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7m4$07`>6373`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2<<<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?5a:&21f<4=91b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4>?290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;;8 43d2:?i7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd60>0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+1239<>"6=j089o5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj82=6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?1<,8?h6>89;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:484?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=>2.:9n4<679j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<6;:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493?0(<;l:252?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>8283>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;186*>5b8034=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0:1>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7=4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;<n7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<53`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2=4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<7?:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;i7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd60o0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+123957=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0:f>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7??;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:4i4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=m2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5=e=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:e9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<7n:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493i0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7>13:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>308b?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>9983>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;156g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e90=1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>==n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0;5>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=794$07`>64a3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2=0<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?56:&21f<4:o1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4?3290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;78 43d2:9j7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd61:0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12390>"6=j08?l5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8396=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?5<,8?h6>::;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:4o4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=:2.:9n4<449j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<o<:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;13b>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm1`694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>66a3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2f4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;:n0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7e:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08?i5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8h86=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=<e:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=o:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:21f?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>b483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a976`<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3a2?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4;o1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4d0290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39?<6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9k21<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>:?;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:n44?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m53538m44e2900e<<l:188m44c2900e<<j:188k4322900qo?ma;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b8004=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0`a>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?53:2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5ge=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:>97d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6jm0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?9=4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;ii7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<429j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<li:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;170>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm1b294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6233`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2g4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;=<0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7d:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j088;5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8k>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=>5:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=l850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:236?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>a683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9740<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3b<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<49?1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4g>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39:;6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9hk1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>?8;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:mo4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m530:8m44e2900e<<l:188m44c2900e<<j:188k4322900qo?nc;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b805==n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0cg>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?56i2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5dc=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:;j7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6io0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?<l4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;i<7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<1c9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<m<:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;124>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm1b694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6773`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2`4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;==0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7c:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j088:5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8n86=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=;8:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=i:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:26;?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>d483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a971?<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3g2?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4<01b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4b0290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39?m6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9m21<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>:n;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:h44?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m535`8m44e2900e<<l:188m44c2900e<<j:188k4322900qo?ka;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b800g=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0fa>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?53k2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5ae=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:>h7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6lm0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?9j4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;oi7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<4e9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<ji:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;17a>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm1d294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>62b3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2a4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;=l0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7b:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j088k5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8i>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=>c:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=n850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:23`?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>c683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a974b<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3`<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<49m1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4e>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39:i6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9jk1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>?j;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:oo4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m530d8m44e2900e<<l:188m44c2900e<<j:188k4322900qo?lc;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b805c=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0ag>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?5582c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5fc=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:8;7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6ko0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1???4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;o<7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<209j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<k<:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;125>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm1d694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6763`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`2b4<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;<;0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg7a:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j089<5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8l86=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=:2:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=k:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:271?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>f483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9705<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3e2?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4=:1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4`0290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39>86g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9o21<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>;;;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:j44?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m53478m44e2900e<<l:188m44c2900e<<j:188k4322900qo?ia;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b8010=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0da>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?52>2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5ce=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:?=7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6nm0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?894i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;mi7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<569j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn<hi:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;16<>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm21294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>63?3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`144<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;<30e<<m:188m44d2900e<<k:188m44b2900c<;::188yg47:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08945f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj8o>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7==2:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi=h850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:201?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl>e683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9775<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c3f<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4::1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f4c>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39996g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e9lk1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6><:;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th:io4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m53348m44e2900e<<l:188m44c2900e<<j:188k4322900qo?jc;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b8063=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb0gg>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?55?2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a5`c=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:8<7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd6mo0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1??64i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk;m<7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<299j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?><:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;126>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm21694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6753`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`154<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;<k0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg46:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j089l5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;;86=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=:c:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi><:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:27`?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=1483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a970b<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c022?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4=m1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f770290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39>i6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:821<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>;j;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9=44?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m534d8m44e2900e<<l:188m44c2900e<<j:188k4322900qo<>a;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b801c=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb33a>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?5182c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a64e=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:<;7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd59m0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?;?4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk8:i7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<609j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn??i:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;156>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm23294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6053`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`164<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;?90e<<m:188m44d2900e<<k:188m44b2900c<;::188yg45:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08:>5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;:>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7==9:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>=850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:20:?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=0683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a977g<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c03<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4:h1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f76>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k399n6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:9k1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6><m;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9<o4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m533a8m44e2900e<<l:188m44c2900e<<j:188k4322900qo<?c;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b806f=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb32g>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?55l2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a65c=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:8o7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd58o0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1??k4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk8:<7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<2d9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?<<:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;127>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm23694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6743`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`174<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;?>0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg44:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08:95f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;986=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=95:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>>:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:246?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=3483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9731<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c002?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4>>1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f750290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39=46g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e::21<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>87;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9?44?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m537;8m44e2900e<<l:188m44c2900e<<j:188k4322900qo<<a;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b802<=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb31a>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?51i2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a66e=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:<j7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd5;m0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?;l4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk88i7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<6c9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?=i:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;15g>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm25294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>60d3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`104<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;?n0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg43:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08:i5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;8>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=<0:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>?850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:213?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=2683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9767<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c01<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4;81b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f74>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k398>6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:;k1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>==;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9>o4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m53218m44e2900e<<l:188m44c2900e<<j:188k4322900qo<=c;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b8076=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb30g>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?54<2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a67c=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:9?7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd5:o0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?>;4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk88<7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<349j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?:<:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;120>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm25694?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>6733`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`114<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;?o0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg42:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08:h5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;?86=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=9f:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>8:50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:24e?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=5483>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9726<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c062?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4?91b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f730290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39;:6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:<21<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>>9;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9944?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m53158m44e2900e<<l:188m44c2900e<<j:188k4322900qo<:a;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b8042=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb37a>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?5702c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a60e=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2::37d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd5=m0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?=74i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk8>i7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<089j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?;i:186>5<7s-;=h7?<a:J1bf=O:o=0(<;9:2:0?!7493;n7)?:c;13e>o6:k0;66g>2b83>>o6:m0;66g>2d83>>i6=<0;66sm27294?3=83:p(<8k:01b?M4ak2B9j:5+14497=5<,89:6<k4$07`>66f3`;9n7>5;h31g?6=3`;9h7>5;h31a?6=3f;>97>5;|`124<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?51d9'50e=;9h0e<<m:188m44d2900e<<k:188m44b2900c<;::188yg41:3:197>50z&22a<6;h1C>km4H3d4?!72>393?6*>3082a>"6=j08<o5f13`94?=n9;i1<75f13f94?=n9;o1<75`14794?=zj;>>6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?7b3-;>o7=<6:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>9850;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 45628o0(<;l:215?l75j3:17d?=c;29?l75l3:17d?=e;29?j72=3:17pl=4683>0<729q/=;j512c8L7`d3A8m;6*>5780<6=#9:;1=h5+14a9761<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c07<?6==3:1<v*>6e827d=O:oi0D?h8;%362?5?;2.:?<4>e:&21f<4;>1b=?l50;9j57e=831b=?j50;9j57c=831d=8;50;9~f72>290>6=4?{%35`?74i2B9jn5G2g58 4312:287)?<1;3f?!72k39846g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:=k1<7;50;2x 40c289j7E<ic:J1b2=#9<<1?5=4$012>4c<,8?h6>=7;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th98o4?:483>5}#9?n1=>o4H3d`?M4a?2.:9;4<829'567=9l1/=8m532;8m44e2900e<<l:188m44c2900e<<j:188k4322900qo<;c;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6*>5b807<=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb36g>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?j;%36g?54j2c:>o4?::k26f<722c:>i4?::k26`<722e:984?::a61c=83?1<7>t$04g>45f3A8mo6F=f69'500=;190(<=>:0g8 43d2:9i7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd5<o0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+12395`=#9<i1?>m4i00a>5<<a88h6=44i00g>5<<a88n6=44o076>5<<uk8><7>55;294~"6>m0:?l5G2ga8L7`03-;>:7=73:&274<6m2.:9n4<3b9j57d=831b=?m50;9j57b=831b=?k50;9l503=831vn?8;:180>5<7s-;=h7?<9:J1bf=O:o=0(<;9:2:0?!7493;:7)?:c;13g>o6:k0;66g>2b83>>i6=<0;66sm27194?5=83:p(<8k:01:?M4ak2B9j:5+14497=5<,89:6k5+14a975e<a88i6=44i00`>5<<g8?>6=44}c051?6=;3:1<v*>6e827<=O:oi0D?h8;%362?5?;2.:?<4>d:&21f<48m1b=?l50;9j57e=831d=8;50;9~f7?d290?6=4?{%35`?74m2B9jn5G2g58 4312:287d?=b;29?l75k3:17d?92;29?j72=3:17pl=9`83>1<729q/=;j512f8L7`d3A8m;6*>5780<6=n9;h1<75f13a94?=n9?81<75`14794?=zj;326=4;:183!71l3;8h6F=fb9K6c1<,8?=6>6<;h31f?6=3`;9o7>5;h356?6=3f;>97>5;|`12<<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?5b:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>;o50;794?6|,8<o6<=n;I0eg>N5n>1/=8853918 456288;7d?=b;29?l75k3:17d?=d;29?l75m3:17b?:5;29?xd5>k0;684?:1y'53b=9:k0D?hl;I0e3>"6=?084>5+123956=n9;h1<75f13a94?=n9;n1<75f13g94?=h9<?1<75rb34`>5<2290;w)?9d;30e>N5nj1C>k94$075>6>43-;8=7?l;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n361?6=3th9;=4?:383>5}#9?n1=><4H3d`?M4a?2.:9;4<829j57g=831d=8;50;9~f70c29086=4?{%35`?7412B9jn5G2g58 4312:287)?<1;d8m44e2900e<<l:188k4322900qo<9f;291?6=8r.::i4>3`9K6ce<@;l<7)?:6;1;7>"6;80:i6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>5483>>{e:?o1<7=50;2x 40c28927E<ic:J1b2=#9<<1?5=4$012>4b<,8?h6>>k;h31f?6=3`;9o7>5;n361?6=3th95o4?:583>5}#9?n1=?;4H3d`?M4a?2.:9;4<829'567=92c987>5;h05>5<<a8;h6=44o07f>5<<uk8<j7>53;294~"6>m09jo5G2ga8L7`03-;>:7=73:k1<?6=3`;887>5;n361?6=3th9;n4?:583>5}#9?n1=>m4H3d`?M4a?2.:9;4<829j6=<722c:=i4?::k227<722e:?84?::a6=5=8391<7>t$04g>7`e3A8mo6F=f69'500=;190e?650;9j562=831d=8;50;9~f7>7290?6=4?{%35`?74k2B9jn5G2g58 4312:287d<7:188m47c2900e<8=:188k4522900qo<77;297?6=8r.::i4=fc9K6ce<@;l<7)?:6;1;7>o503:17d?<4;29?j72=3:17pl=8583>1<729q/=;j512a8L7`d3A8m;6*>5780<6=n:10;66g>1e83>>o6>;0;66a>3483>>{e:1h1<7=50;2x 40c2;li7E<ic:J1b2=#9<<1?5=4i3:94?=n9:>1<75`14794?=zj;236=4;:183!71l3;8o6F=fb9K6c1<,8?=6>6<;h0;>5<<a8;o6=44i041>5<<g89>6=44}c0;b?6=;3:1<v*>6e81bg=O:oi0D?h8;%362?5?;2c947>5;h300?6=3f;>97>5;|`1<f<72=0;6=u+17f956e<@;lh7E<i7:&213<40:1b>54?::k25a<722c::?4?::m270<722wi>4<50;194?6|,8<o6?hm;I0eg>N5n>1/=8853918m7>=831b=>:50;9l503=831vn?9j:181>5<7s-;=h7?<8:J1bf=O:o=0(<;9:2:0?!7493;:7d?=b;29?j72=3:17pl=8383>7<729q/=;j512:8L7`d3A8m;6*>5780<6=#9:;1=<5f13`94?=h9<?1<75rb3:5>5<5290;w)?9d;30<>N5nj1C>k94$075>6>43-;8=7?>;h31f?6=3f;>97>5;|`1<d<72;0;6=u+17f956><@;lh7E<i7:&213<40:1/=>?5109j57d=831d=8;50;9~f7>b29096=4?{%35`?7402B9jn5G2g58 4312:287)?<1;32?l75j3:17b?:5;29?xd5180;6?4?:1y'53b=9:20D?hl;I0e3>"6=?084>5+123954=n9;h1<75`14794?=zj;=o6=4::183!71l3;9;6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f2783>>o69j0;66g>5g83>>i6=l0;66sm29394?3=83:p(<8k:004?M4ak2B9j:5+14497=5<,89:6<5f2583>>o5>3:17d?>c;29?l72n3:17b?:e;29?xd50<0;684?:1y'53b=9;=0D?hl;I0e3>"6=?084>5+12395>o5<3:17d<9:188m47d2900e<;i:188k43b2900qo<79;291?6=8r.::i4>269K6ce<@;l<7)?:6;1;7>"6;80:7d<;:188m70=831b=<m50;9j50`=831d=8k50;9~f7>c290>6=4?{%35`?75?2B9jn5G2g58 4312:287)?<1;38m72=831b>;4?::k25f<722c:9k4?::m21`<722wi>4>50;794?6|,8<o6<<8;I0eg>N5n>1/=8853918 456281b>94?::k12?6=3`;:o7>5;h36b?6=3f;>i7>5;|`1f2<72<0;6=u+17f956g<@;lh7E<i7:&213<40:1/=>?5c:k26g<722c:>n4?::k26a<722c:>h4?::m210<722wi>o;50;194?6|,8<o6<=6;I0eg>N5n>1/=8853918 45628n0(<;l:22f?l75j3:17d?=c;29?j72=3:17pl=b883>7<729q/=;j51208L7`d3A8m;6*>5780<6=n9;k1<75`14794?=zj;h=6=4::183!71l3;8m6F=fb9K6c1<,8?=6>6<;%305?b<a88i6=44i00`>5<<a88o6=44i00f>5<<g8?>6=44}c0a<?6==3:1<v*>6e8262=O:oi0D?h8;%362?5?;2.:?<4>;h07>5<<a;<1<75f10a94?=n9<l1<75`14g94?=zj;kn6=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;km6=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;h;6=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;h:6=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;h96=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;h86=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;h?6=4;:183!71l3;9:6F=fb9K6c1<,8?=6>6<;%305?7<a;>1<75f10a94?=n9<l1<75`14g94?=zj;i<6=48:183!71l3;8n6F=fb9K6c1<,89:6<h4i00a>5<<a88h6=44i00g>5<<a88n6=44i00e>5<<a89;6=44o076>5<<uk8h47>57;294~"6>m0:?o5G2ga8L7`03-;8=7?=2:k26g<722c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m210<722wi>n750;794?6|,8<o6<=n;I0eg>N5n>1/=>?5219j57d=831b=?m50;9j57b=831b=?k50;9l503=831v8o50;0xZ0g<5;i36<=?;|q4b?6=:rT<j63=c9826a=z{h>1<7<t^`6897e0288m7p}l9;296~Xd1279o:4>2d9~w`c=838pRhk4=3a;>44a3ty:=>4?:3y]545<5;i36<<j;|q6=?6=:rT>563>ag8210=z{<=1<7<t^45894gc28?>7p}:6;296~X2>27:mo4>549~w03=838pR8;4=0c:>4323ty>87>52z\60>;6i>0:985rs4194?4|V<901<o::076?xu293:1>vP:1:?2g4<6=<1v8>50;0xZ06<58hm6<;:;|q7b?6=:rT?j63>be8210=z{=o1<7<t^5g894de28?>7p};d;296~X3l27:n44>549~w1e=838pR9m4=0`4>4323ty?n7>52z\7f>;6j<0:985rs5c94?4|V=k01<l<:076?xu313:1>vP;9:?2f4<6=<1v9650;0xZ1><58k86<;:;|q72?6=:rT?:63>b18210=z{=?1<7<t^57894gb28?>7p};4;296~X3<27:mn4>549~w15=838pR9=4=0cb>4323ty?>7>52z\76>;6i10:985rs5394?4|V=;01<o9:076?xu383:1>vP;0:?2g7<6=<1v>h50;0xZ6`<58i;6<;:;|q0a?6=:rT8i63>bd8210=z{:n1<7<t^2f894dd28?>7p}90;296~X1827:nl4>549~w0`=838pR8h4=0`;>4323ty>i7>52z\6a>;6j?0:985rs4f94?4|V<n01<l;:076?xu2k3:1>vP:c:?2f7<6=<1v8l50;0xZ0d<58k?6<;:;|q4a?6=:rT<i63>cg8210=z{>i1<7<t^6a894ec28?>7p}8b;296~X0j27:oo4>549~w2g=838pR:o4=0a:>4323ty<57>52z\4=>;6k>0:985rs6:94?4|V>201<m::076?xu0>3:1>vP86:?2a4<6=<1v:;50;0xZ23<58nm6<;:;|q40?6=:rT<863>de8210=z{>91<7<t^61894be28?>7p}82;296~X0:27:h44>549~w27=838pR:?4=0f4>4323ty<<7>52z\44>;6l<0:985rs7d94?4|V?l01<j<:076?xu1m3:1>vP9e:?2`4<6=<1v;j50;0xZ3b<58i86<;:;|q5f?6=:rT=n63>d18210=z{?k1<7<t^7c894eb28?>7p}99;296~X1127:on4>549~w3>=838pR;64=0ab>4323ty=;7>52z\53>;6k10:985rs7494?4|V?<01<m9:076?xu1=3:1>vP95:?2a7<6=<1v;:50;0xZ32<58o;6<;:;|q57?6=:rT=?63>dd8210=z{?81<7<t^70894bd28?>7p}75;296~X?=27:hl4>549~w=2=838pR5:4=0f;>4323ty3?7>52z\;7>;6l?0:985rs9094?4|V1801<j;:076?xu?93:1>vP71:?2`7<6=<1v5>50;0xZ=6<58i?6<;:;|qb7?6=:rTj?63>eg8210=z{h;1<7<t^`3894cc28?>7p}n0;296~Xf827:io4>549~w<`=838pR4h4=0g:>4323ty2i7>52z\:a>;6m>0:985rs8f94?4|V0n01<k::076?xu>j3:1>vP6b:?144<6=<1v4o50;0xZ<g<58lm6<;:;|q:=?6=:rT2563>fe8210=z{021<7<t^8:894`e28?>7p}67;296~X>?27:j44>549~w<0=838pR484=0d4>4323ty297>52z\:1>;6n<0:985rs8694?4|V0>01<h<:076?xu>;3:1>vP63:?2b4<6=<1v4<50;0xZ<4<58o86<;:;|q:4?6=:rT2<63>f18210=z{1l1<7<t^9d894cb28?>7p}7e;296~X?m27:in4>549~w=b=838pR5j4=0gb>4323ty3o7>52z\;g>;6m10:985rs9`94?4|V1h01<k9:076?xu?i3:1>vP7a:?147<6=<1v5750;0xZ=?<5;:;6<;:;|q;<?6=:rT3463>fd8210=z{1=1<7<t^95894`d28?>7p}na;296~Xfi27:jl4>549~wd?=838pRl74=0d;>4323tyj47>52z\b<>;6n?0:985rs`594?4|Vh=01<h;:076?xuf>3:1>vPn6:?2b7<6=<1vl;50;0xZd3<58o?6<;:;|q`<?6=:rTh463=0g8210=z{j<1<7<t^b48976c28?>7p}l5;296~Xd=279<o4>549~wf2=838pRn:4=32:>4323tyh?7>52z\`7>;58>0:985rsb094?4|Vj801?>::076?xud83:1>vPl0:?164<6=<1voh50;0xZg`<5;;m6<;:;|qaa?6=:rTii63=1e8210=z{kn1<7<t^cf8977e28?>7p}mc;296~Xek279=44>549~wgd=838pRol4=334>4323tyim7>52z\ae>;59<0:985rsc;94?4|Vk301??<:076?xue03:1>vPm8:?154<6=<1vo950;0xZg1<5;:86<;:;|qa1?6=:rTi963=118210=z{k>1<7<t^c68976b28?>7p}m3;296~Xe;279<n4>549~wg4=838pRo<4=32b>4323tyi=7>52z\a5>;5810:985rsc294?4|Vk:01?>9:076?xufn3:1>vPnf:?167<6=<1vlk50;0xZdc<5;8;6<;:;|qb`?6=:rTjh63=1d8210=z{hi1<7<t^`a8977d28?>7p}lf;296~Xdn279=l4>549~wfc=838pRnk4=33;>4323tyhh7>52z\``>;59?0:985rsba94?4|Vji01??;:076?xudj3:1>vPlb:?157<6=<1vno50;0xZfg<5;:?6<;:;|qf`?6=:rTnh63=2g8210=z{lh1<7<t^d`8974c28?>7p}ja;296~Xbi279>o4>549~w`?=838pRh74=30:>4323tyn47>52z\f<>;5:>0:985rsd594?4|Vl=01?<::076?xub=3:1>vPj5:?104<6=<1vh:50;0xZ`2<5;9m6<;:;|qf7?6=:rTn?63=3e8210=z{l81<7<t^d08975e28?>7p}j1;296~Xb9279?44>549~w`6=838pRh>4=314>4323tyoj7>52z\gb>;5;<0:985rseg94?4|Vmo01?=<:076?xucl3:1>vPkd:?174<6=<1vim50;0xZae<5;886<;:;|qge?6=:rTom63=318210=z{m31<7<t^e;8974b28?>7p}k8;296~Xc0279>n4>549~wa1=838pRi94=30b>4323tyo:7>52z\g2>;5:10:985rse794?4|Vm?01?<9:076?xuc<3:1>vPk4:?107<6=<1vi=50;0xZa5<5;>;6<;:;|qg6?6=:rTo>63=3d8210=z{m;1<7<t^e38975d28?>7p}i4;296~Xa<279?l4>549~wc5=838pRk=4=31;>4323tym>7>52z\e6>;5;?0:985rsg394?4|Vo;01?=;:076?xua83:1>vPi0:?177<6=<1vhh50;0xZ``<5;8?6<;:;|q257<72;qU=<<4=36e>4323ty:==4?:3y]546<5;>o6<;:;|q24c<72;qU==h4=36a>4323ty:<h4?:3y]55c<5;>26<;:;|q24a<72;qU==j4=364>4323ty:<n4?:3y]55e<5;>>6<;:;|q24d<72;qU==o4=342>4323ty:<44?:3y]55?<5;?m6<;:;|q24=<72;qU==64=37g>4323ty:<:4?:3y]551<5;?i6<;:;|q243<72;qU==84=37:>4323ty:<84?:3y]553<5;?<6<;:;|q241<72;qU==:4=376>4323ty:<>4?:3y]555<5;?86<;:;|q247<72;qU==<4=372>4323ty:<<4?:3y]557<5;>86<;:;|qeb?6=:rTmj63=518210=z{oo1<7<t^gg8972b28?>7p}id;296~Xal2798n4>549~wce=838pRkm4=36b>4323tymn7>52z\ef>;5<10:985rsgc94?4|Vok01?:9:076?xua13:1>vPi9:?127<6=<1vk650;0xZc><5;<;6<;:;|qe3?6=:rTm;63=5d8210=z{o<1<7<t^g48973d28?>7p}>1883>7}Y98301?;n:076?xu6910;6?uQ10:8973?28?>7p}>1683>7}Y98=01?;9:076?xu69?0;6?uQ1048973328?>7p}>1483>7}Y98?01?;=:076?xu69=0;6?uQ1068972328?>7p}>3g83>a}::oo1=894=3a6>70<5;ij6?84=3;a>70<5;3;6?84=3:g>70<5;226?84=3:6>70<5;2:6?84=35g>70<5;=h6?64=35e>7><5;h36<?l;|q1b0<72;q6>nl5259>53c=:o<0q~<i4;290~;61j0:>n52277957e<5;<n6<<l;<35a?71<2wx=:>50;0x940b28;m70?9f;31e>{t:li1<7<t=3aa>47d348ho7?:5:p6`c=838p1?mm:2c897ec28?>7p}=eg83>6}::??1=?l4=34f>44e348i47?:e:p6c7=838p1?mn:07f?871m3;=n6s|2g094?4|5;3i6<;j;<0`3?7482wx>i>50;gx941e28?>70<;4;31g>;5<:0:>n52236957e<5;886<<l;<030?75k279<>4>2b9>5`2=9;i01<k<:00`?87d<3;9o63>c2826f=:9h>1=?m4=0c0>44d348=j7?=c:p6a1=83np1<6=:076?842:3;9o63=50826f=:::81=?m4=312>44d348:>7?=c:?154<6:j16=k<513a894`6288h70?k2;31g>;6l80:>n521c0957e<58h:6<<l;|q1`=<72mq6=5=514789733288h70<:3;31g>;5;=0:>n52221957e<5;;?6<<l;<027?75k27:j94>2b9>5c5=9;i01<j;:00`?87c;3;9o63>b5826f=:9k91=?m4}r0g=?6=lr7:494>549>600=9;i01?;::00`?844>3;9o63=34826f=::8<1=?m4=336>44d34;m:7?=c:?2b0<6:j16=i8513a894b2288h70?m6;31g>;6j<0:>n5rs3fb>5<cs4;397?:5:?11=<6:j16>89513a8975?288h70<<7;31g>;5910:>n52205957e<58l36<<l;<3e3?75k27:h54>2b9>5a1=9;i01<l7:00`?87e?3;9o6s|2e`94?b|582=6<;:;<06e?75k279944>2b9>66g=9;i01?=6:00`?846i3;9o63=18826f=:9ok1=?m4=0d:>44d34;om7?=c:?2`<<6:j16=oo513a894d>288h7p}=db83>a}:91=1=8;4=37`>44d348>n7?=c:?17f<6:j16>>l513a8977d288h70<>b;31g>;6nj0:>n521g`957e<58nh6<<l;<3gf?75k27:nn4>2b9>5gd=9;i0q~<kd;29`~;6010:985224g957e<5;?o6<<l;<00a?75k279?i4>2b9>64c=9;i01??k:00`?87am3;9o63>fe826f=:9mo1=?m4=0fg>44d34;ii7?=c:?2fa<6:j1v?jj:18g87?13;>963=61826f=::<l1=?m4=363>44d3488j7?=c:?165<6:j16><h513a89767288h70?if;31g>;6m90:>n521ed957e<58i;6<<l;<3ab?75k2wx>ih50;fx94>f28?>70<92;31g>;5>80:>n52250957e<5;>:6<<l;<016?75k279><4>2b9>654=9;i01?>>:00`?87b:3;9o63>e0826f=:9j81=?m4=0a2>44d3ty9h<4?:ey>52e=9<?01?:9:00`?843=3;9o63=27826f=::;?1=?m4=325>44d348;97?=c:?2a3<6:j16=h;513a894e1288h70?l5;31g>;6i?0:>n521`7957e<uz8o>7>5dz?23a<6=<16>96513a89720288h70<=8;31g>;5:>0:>n5221:957e<5;:<6<<l;<3f<?75k27:i:4>2b9>5f>=9;i01<m8:00`?87f03;9o63>a6826f=z{;n86=4k{<34a?72=2798l4>2b9>61?=9;i01?<n:00`?84513;9o63=0`826f=::931=?m4=0gb>44d34;n57?=c:?2gd<6:j16=n7513a894gf288h70?n9;31g>{t:m>1<7jt=05e>432348?o7?=c:?10g<6:j16>?m513a8974e288h70<?c;31g>;58k0:>n521da957e<58oi6<<l;<3`g?75k27:oo4>2b9>5de=9;i01<om:00`?xu5l<0;6iu21929503<5;>n6<<l;<07`?75k279>h4>2b9>67b=9;i01?>j:00`?847l3;9o63>ed826f=:9ln1=?m4=0af>44d34;hh7?=c:?2e`<6:j16=lj513a8yv4c>3:1hv3>808210=::<:1=?m4=36e>44d3488<7?=c:?16c<6:j16><>513a8976a288h70?i0;31g>;6mo0:>n521e2957e<58im6<<l;<3a4?75k27:mk4>2b9~w7c32909=v3>8c8210=:9k:1=?j4=0ce>44c34;ji7?=d:?2ea<6:m16=lm513f894ge288o70?na;31`>;6i00:>i521`:957b<58k<6<<k;<3b2?75l27:m84>2e9>5f4=9;n01<m>:00g?87d83;9h63>bg826a=:9ko1=?j4=0`g>44c34;io7?=d:?2fg<6:m16=oo513f894d>288o70?m8;31`>;6j>0:>i521c4957b<58h>6<<k;<3a0?75l27:n>4>2e9>5g4=9;n01<l>:00g?87f<3;9h63>a2826a=z{;o=6=4=1z?2=7<6=<16=i>513f894ea288o70?le;31`>;6km0:>i521ba957b<58ii6<<k;<3`e?75l27:o44>2e9>5f>=9;n01<m8:00g?87d>3;9h63>c4826a=:9l81=?j4=0g2>44c34;n<7?=d:?2`c<6:m16=ik513f894bc288o70?kc;31`>;6lk0:>i521ec957b<58n26<<k;<3g<?75l27:h:4>2e9>5a0=9;n01<j::00g?87c<3;9h63>d2826a=:9m81=?j4=0f2>44c34;h87?=d:?2g6<6:m1v?k8:1815~;61:0:98521g2957b<58om6<<k;<3fa?75l27:ii4>2e9>5`e=9;n01<km:00g?87bi3;9h63>e8826a=:9l21=?j4=0g4>44c34;n:7?=d:?2a0<6:m16>=<513f89766288o70<?0;31`>;6no0:>i521gg957b<58lo6<<k;<3eg?75l27:jo4>2e9>5cg=9;n01<h6:00g?87a03;9h63>f6826a=:9o<1=?j4=0d6>44c34;m87?=d:?2b6<6:m16=k<513f894`6288o70?j4;31`>;6m:0:>i5rs3g;>5<59r7:594>549>646=9;n01?>i:00g?847m3;9h63=0e826a=::9i1=?j4=32a>44c348;m7?=d:?14<<6:m16>=6513f89760288o70<?6;31`>;58<0:>i52230957b<5;8:6<<k;<014?75l279=k4>2e9>64c=9;n01??k:00g?846k3;9h63=1c826a=::8k1=?j4=33:>44c348:47?=d:?152<6:m16><8513f89772288o70<>4;31`>;59:0:>i52200957b<5;;:6<<k;<030?75l279<>4>2e9~w7c>2909=v3>948210=::::1=?j4=30e>44c3489i7?=d:?16a<6:m16>?m513f8974e288o70<=a;31`>;5:00:>i5223:957b<5;8<6<<k;<012?75l279>84>2e9>614=9;n01?:>:00g?84383;9h63=3g826a=:::o1=?j4=31g>44c3488o7?=d:?17g<6:m16>>o513f8975>288o70<<8;31`>;5;>0:>i52224957b<5;9>6<<k;<000?75l279?>4>2e9>664=9;n01?=>:00g?845<3;9h63=22826a=z{;oj6=4=1z?2=3<6=<16>8>513f8972a288o70<;e;31`>;5<m0:>i5225a957b<5;>i6<<k;<07e?75l279844>2e9>61>=9;n01?:8:00g?843>3;9h63=44826a=::?81=?j4=342>44c348=<7?=d:?11c<6:m16>8k513f8973c288o70<:c;31`>;5=k0:>i5224c957b<5;?26<<k;<06<?75l2799:4>2e9>600=9;n01?;::00g?842<3;9h63=52826a=::<81=?j4=372>44c348?87?=d:?106<6:m1v?k::18187>93;>963=6g826a=z{;o;6=4>4z?236<6=l16=:<510a894>e288i70?62;31f>;61:0:>o52186957d<583>6<<m;<3:2?75j27:5:4>2c9>5<>=9;h01<76:00a?87>i3;9n63>8b826g=:91n1=?l4=0:f>44e34;3j7?=b:?2=5<6:k16=4?513`897e0288o70<l8;31f>{t:l;1<7?<{<340?72m27:;>4>1b9>5=d=9;i01<7=:00`?87>;3;9o63>95826f=:90?1=?m4=0;5>44d34;2;7?=c:?2==<6:j16=47513a894?f288h70?7c;31g>;60m0:>n5219g957e<582m6<<l;<3:4?75k27:5<4>2b9>6f?=9;h0q~<j2;2951}:9>?1=8k4=057>47d34;3n7?=d:?2=7<6:m16=4=513f894?3288o70?65;31`>;61?0:>i52185957b<58336<<k;<3:=?75l27:5l4>2e9>5=e=9;n01<6k:00g?87?m3;9h63>8g826a=:90:1=?j4=0;2>44c348h;7?=c:?1g=<6:j1v?k<:1820~;6??0:9h52167954e<582i6<<j;<3:6?75m27:5>4>2d9>5<2=9;o01<7::00f?87>>3;9i63>96826`=:9021=?k4=0;:>44b34;2m7?=e:?2<f<6:l16=5j513g894>b288n70?7f;31a>;6190:>h52183957c<5;i<6<<m;<0`=?75l2wx>nk50;6x941028?n70<93;31f>;5>=0:>o5227f957d<uz8hj7>55z?23=<6=l16=:9510a89704288h70<94;31g>;5>m0:>n5rs3ga>5<3s48hn7?:e:?1gf<6:h16=4m513`897d>288j7p}=f283>7}::jk1=<m4=3a:>4323ty9ii4?:2y>6fb=9;k01<8j:040?87>j3;9m6s|2g294?4|5;i>6<?l;<35a?71i2wx=4j50;`x94112:k01<9::2c894132:k01<9<:2c894152:k01<9>:2c8941f2:k01<96:2c8941?2:k01<98:2c894?e28?>7p}>a383>g}:9><1>;52167963=:9>>1>;52161963=:9>81>;52163963=:9>k1>;5216;963=:9>21>;52165963=:90i1=8;4}r3b4?6=9;q6=:7514g8941?28;h70?8b;31f>;60;0:>o52191957d<582?6<<m;<3;1?75j27:4;4>2c9>5=1=9;h01<67:00a?87?13;9n63>8`826g=:9>i1=?l4=05g>44e34;<i7?=b:?23c<6:k16=5>513`894>6288i7p}>a083>44|58=j6<;j;<34=?76k27:;o4>2b9>5=4=9;i01<6<:00`?87?<3;9o63>84826f=:91<1=?m4=0:4>44d34;347?=c:?2<<<6:j16=5o513a8941d288h70?8d;31g>;6?l0:>n5216d957e<582;6<<l;<3;5?75k2wx=4k50;3187093;>i63>7`825f=:9>h1=?j4=0:1>44c34;3?7?=d:?2<1<6:m16=5;513f894>1288o70?77;31`>;6010:>i5219;957b<582j6<<k;<34g?75l27:;i4>2e9>52c=9;n01<9i:00g?87?83;9h63>80826a=z{83m6=4>2z?237<6=l16=:?510a8941e288n70?72;31a>;60:0:>h52196957c<582>6<<j;<3;2?75m27:4:4>2d9>5=>=9;o01<66:00f?87?i3;9i63>7b826`=:9>n1=?k4=05f>44b34;<j7?=e:?2<5<6:l16=5?513g8yv41?3:1:<u22769503<5;>m6<<j;<07`?75m2798o4>2d9>61?=9;o01?:8:00f?843=3;9i63=60826`=::<l1=?k4=37g>44b348>n7?=e:?11<<6:l16>89513g89732288n70<:3;31a>;5=80:>h52251957c<5;8m6<<j;<01`?75m279>o4>2d9>67?=9;o01?<8:00f?845=3;9i63=40826`=:::l1=?k4=31g>44b3488n7?=e:?17<<6:l16>>9513g89752288n70<<3;31a>;5;80:>h52231957c<5;:m6<<j;<03`?75m279<o4>2d9>65?=9;o01?>8:00f?847=3;9i63=20826`=::8l1=?k4=33g>44b348:n7?=e:?15<<6:l16><9513g89772288n70<>3;31a>;5980:>h52211957c<58om6<<j;<3f`?75m27:io4>2d9>5`?=9;o01<k8:00f?87b=3;9i63=00826`=:9ol1=?k4=0dg>44b34;mn7?=e:?2b<<6:l16=k9513g894`2288n70?i3;31a>;6n80:>h521d1957c<58im6<<j;<3``?75m27:oo4>2d9>5f?=9;o01<m8:00f?87d=3;9i63>e0826`=:9ml1=?k4=0fg>44b34;on7?=e:?2`<<6:l16=i9513g894b2288n70?k3;31a>;6l80:>h521b1957c<58km6<<j;<3b`?75m27:mo4>2d9>5d?=9;o01<o8:00f?87f=3;9i63>c0826`=:9kl1=?k4=0`g>44b34;in7?=e:?2f<<6:l16=o9513g894d2288n70?m3;31a>;6j80:>h521`1957c<uz8=:7>560y>635=9<?01?;?:00f?843m3;9i63=4b826`=::=k1=?k4=36;>44b348?:7?=e:?127<6:l16>;>513g8973b288n70<:c;31a>;5=h0:>h5224:957c<5;?=6<<j;<060?75m2799?4>2d9>612=9;o01?=?:00f?845m3;9i63=2b826`=::;k1=?k4=30;>44b3489:7?=e:?107<6:l16>9>513g8975b288n70<<c;31a>;5;h0:>h5222:957c<5;9=6<<j;<000?75m279??4>2d9>672=9;o01???:00f?847m3;9i63=0b826`=::9k1=?k4=32;>44b348;:7?=e:?167<6:l16>?>513g8977b288n70<>c;31a>;59h0:>h5220:957c<5;;=6<<j;<020?75m279=?4>2d9>652=9;o01<h?:00f?87bm3;9i63>eb826`=:9lk1=?k4=0g;>44b34;n:7?=e:?147<6:l16>=>513g894`b288n70?ic;31a>;6nh0:>h521g:957c<58l=6<<j;<3e0?75m27:j?4>2d9>5`2=9;o01<j?:00f?87dm3;9i63>cb826`=:9jk1=?k4=0a;>44b34;h:7?=e:?2a7<6:l16=h>513g894bb288n70?kc;31a>;6lh0:>h521e:957c<58n=6<<j;<3g0?75m27:h?4>2d9>5f2=9;o01<l?:00f?87fm3;9i63>ab826`=:9hk1=?k4=0c;>44b34;j:7?=e:?2g7<6:l16=n>513g894db288n70?mc;31a>;6jh0:>h521c:957c<58h=6<<j;<3a0?75m27:n?4>2d9>5d2=9;o0q~<98;29g4}::??1=8;4=373>44e348?j7?=b:?10`<6:k16>9j513`8972d288i70<;b;31f>;5<h0:>o5225;957d<5;>36<<m;<073?75j2798;4>2c9>613=9;h01?8=:00a?84193;9n63=61826g=::<l1=?l4=37f>44e348>h7?=b:?11f<6:k16>8l513`8973f288i70<:9;31f>;5=10:>o52245957d<5;?=6<<m;<061?75j279994>2c9>605=9;h01?;=:00a?84293;9n63=45826g=::=91=?l4=313>44e3489j7?=b:?16`<6:k16>?j513`8974d288i70<=b;31f>;5:h0:>o5223;957d<5;836<<m;<013?75j279>;4>2c9>673=9;h01?:=:00a?84393;9n63=41826g=:::l1=?l4=31f>44e3488h7?=b:?17f<6:k16>>l513`8975f288i70<<9;31f>;5;10:>o52225957d<5;9=6<<m;<001?75j279?94>2c9>665=9;h01?==:00a?84493;9n63=25826g=::;91=?l4=333>44e348;j7?=b:?14`<6:k16>=j513`8976d288i70<?b;31f>;58h0:>o5221;957d<5;:36<<m;<033?75j279<;4>2c9>653=9;h01?<=:00a?84593;9n63=21826g=::8l1=?l4=33f>44e348:h7?=b:?15f<6:k16><l513`8977f288i70<>9;31f>;5910:>o52205957d<5;;=6<<m;<021?75j279=94>2c9>645=9;h01??=:00a?84693;9n63=05826g=::991=?l4=0d3>44e34;nj7?=b:?2a`<6:k16=hj513`894cd288i70?jb;31f>;6mh0:>o521d;957d<58o36<<m;<3f3?75j27:i;4>2c9>5`3=9;h01?>=:00a?84793;9n63=01826g=:9ol1=?l4=0df>44e34;mh7?=b:?2bf<6:k16=kl513`894`f288i70?i9;31f>;6n10:>o521g5957d<58l=6<<m;<3e1?75j27:j94>2c9>5c5=9;h01<h=:00a?87a93;9n63>e5826g=:9l91=?l4=0f3>44e34;hj7?=b:?2g`<6:k16=nj513`894ed288i70?lb;31f>;6kh0:>o521b;957d<58i36<<m;<3`3?75j27:o;4>2c9>5f3=9;h01<k=:00a?87b93;9n63>e1826g=:9ml1=?l4=0ff>44e34;oh7?=b:?2`f<6:k16=il513`894bf288i70?k9;31f>;6l10:>o521e5957d<58n=6<<m;<3g1?75j27:h94>2c9>5a5=9;h01<j=:00a?87c93;9n63>c5826g=:9j91=?l4=0`3>44e34;jj7?=b:?2e`<6:k16=lj513`894gd288i70?nb;31f>;6ih0:>o521`;957d<58k36<<m;<3b3?75j27:m;4>2c9>5d3=9;h01<m=:00a?87d93;9n63>c1826g=:9kl1=?l4=0`f>44e34;ih7?=b:?2ff<6:k16=ol513`894df288i70?m9;31f>;6j10:>o521c5957d<58h=6<<m;<3a1?75j27:n94>2c9>5g5=9;h01<l=:00a?87e93;9n63>a5826g=:9h91=?l4}r0b`?6=:r795o4>1b9>6<e=9<?0q~<nc;296~;51h0:985228a957d<uz8jn7>52z?1=<<6=<16>4m513a8yv4>n3:1>v3=688210=::0k1=?l4}r0b4?6=:r79:l4>549>6<g=9;i0q~<n1;296~;5>k0:985228;957d<uz8j>7>52z?12f<6=<16>47513a8yv4f<3:1;v3=718210=::0:1=8h4=3:g>43a348357?:f:?1<0<6=o16>5?514d8971c28?m7p}=9e83>7}::?l1=?k4=34g>4323ty9m>4?:3y>63`=9<?01?9?:00b?xu51l0;6?u227g9503<5;<m6<<m;|q1ed<72:q6>4m5170897?728?n70<61;31f>{t:h31<7:t=3;:>4053482m7?92:?1<a<6=l16>5k513`8yv4f03:1:v3=6b826`=::?h1=?k4=34b>44b348=57?=e:?1<<<6=l16>5o513`8yv4f?3:1:v3=6b826a=::?h1=?j4=34b>44c348=57?=d:?1<0<6=l16>58513`8yv4f>3:1:v3=6b826f=::?h1=?m4=34b>44d348=57?=c:?1<4<6=l16>5<513`8yv4f=3:1:v3=6b826g=::?h1=?l4=34b>44e348=57?=b:?13a<6=l16>:k513`8yv40>3:1>v3=7e825f=::>l1=8;4}r045?6=;r794=4=8:?1<6<50279;n4>349~w7102909w0<71;32g>;50:0:985rs351>5<4s48387<7;<0;3?4?3483<7?<5:p62>=838p1?6::03`?84??3;>96s|26194?5|5;236?64=3:a>7><5;2?6<=:;|q13<<72;q6>57510a897>e28?>7p}=7583>6}::1i1>55229d96==::121=>;4}r04e?6=:r794i4>1b9>6=`=9<?0q~<85;296~;51;09463=8b8270=z{;=i6=4={<0:4?76k2795?4>549~w7?42908w0<8e;361>;5?j0::?5226d9562<uz8287>53z?1<7<6=<16>5>5170897>4289?7p}=9483>6}::1<1=8;4=3:7>4053483;7?<4:p6<0=839p1?6n:076?84?03;=>63=8c8271=z{;3<6=4<{<0;a?72=2794n4>639>6=`=9:>0q~<68;296~;5180:98522809562<uz8ih7>52z?1f0<6:k16>o951478yv4ej3:1>v3=b9812>;5j<0:985rs3`b>5<>s48i87?:f:?1f6<6=o16>o<514d897d628?m70<m0;36b>;5io0:9k522`g950`<5;h36<;i;<0a=?72=2wx>ok50;0x97gb28?n70<m7;31f>{t:kl1<7=t=3ce>43b348ji7?>c:?1f2<6:j1v?m?:18084e83;>i63=ag825f=::k=1=?j4}r0`5?6=;r79n<4>5d9>6g6=98i01?l8:00f?xu5k;0;6>u22c0950c<5;h:6<?l;<0a2?75j2wx>n=50;1x97d428?n70<m2;32g>;5j?0:>n5rs3``>5<5s48i:7?:5:?1f0<6:j1v?m;:18084e<3;>i63=b2825f=::k<1=?j4}r0`2?6=:r79o:4>549>6f?=9;o0q~<l7;296~;5k10:98522b;957e<uz;?<7>50zp517=83:pq~?;2;294~{t9=91<7>t}r370?6=8rwx=9;50;2xyv73>3:1<vs|15594?6|uz;?47>50zp51?=83:pq~?;a;294~{t9=h1<7>t}r37g?6=8rwx=9j50;2xyv73m3:1<vs|15d94?e|V<80R:94^8a8Zf7<Vl<01?6l:03g?84?03;:h63=85825a=::1:1=<j4=35`>47c348mh7?=8:\24g=z{8?;6=4n{_64?[0d3W3:7Sl9;_fa?870>3;:o63=b5825f=::k<1=?k4^023?84d=3;>i6s|14394?45sW9h7S8>;_:5?[ge3Wn;70<l5;07?84di38?70?86;07?870=38?70?84;07?870;38?70?82;07?870938?70?8a;07?870138?70?88;07?870?38?70<6b;07?84>838?70<7d;07?84?138?70<75;07?84?938?70<8d;07?84e<38?70<m3;07?84e:38?70<m1;07?84e838?70<nf;07?84fm38?70<m8;07?[`234;=j7?:5:~j2>c290:wE<i7:m3=c=83;pD?h8;|l4<c<728qC>k94}o5:4?6=9rB9j:5rn6;2>5<6sA8m;6sa78094?7|@;l<7p`89283>4}O:o=0qc964;295~N5n>1vb:7::182M4a?2we;4850;3xL7`03td<5:4?:0yK6c1<ug=247>51zJ1b2=zf>326=4>{I0e3>{i?0k1<7?tH3d4?xh01k0;6<uG2g58yk1>k3:1=vF=f69~j2?c290:wE<i7:m3<c=83;pD?h8;|l4=c<728qC>k94}o5b4?6=9rB9j:5rn6c2>5<6sA8m;6sa7`094?7|@;l<7p`8a283>4}O:o=0qc9n4;295~N5n>1vb:o::182M4a?2we;l850;3xL7`03td<m:4?:0yK6c1<ug=j47>51zJ1b2=zf>k26=4>{I0e3>{i?hk1<7?tH3d4?xh0ik0;6<uG2g58yk1fk3:1=vF=f69~j2gc290:wE<i7:m3dc=83;pD?h8;|l4ec<728qC>k94}o5a4?6=9rB9j:5rn6`2>5<6sA8m;6sa7c094?7|@;l<7p`8b283>4}O:o=0qc9m4;295~N5n>1vb:l::182M4a?2we;o850;3xL7`03td<n:4?:0yK6c1<ug=i47>51zJ1b2=zf>h26=4>{I0e3>{i?kk1<7?tH3d4?xh0jk0;6<uG2g58yk1ek3:1=vF=f69~j2dc290:wE<i7:m3gc=83;pD?h8;|l4fc<728qC>k94}o5`4?6=9rB9j:5rn6a2>5<6sA8m;6sa7b094?7|@;l<7p`8c283>4}O:o=0qc9l4;295~N5n>1vb:m::182M4a?2we;n850;3xL7`03td<o:4?:0yK6c1<ug=h47>51zJ1b2=zf>i26=4>{I0e3>{i?jk1<7?tH3d4?xh0kk0;6<uG2g58yk1dk3:1=vF=f69~j2ec290:wE<i7:m3fc=83;pD?h8;|l4gc<728qC>k94}o5g4?6=9rB9j:5rn6f2>5<6sA8m;6sa7e094?7|@;l<7p`8d283>4}O:o=0qc9k4;295~N5n>1vb:j::182M4a?2we;i850;3xL7`03td<h:4?:0yK6c1<ug=o47>51zJ1b2=zf>n26=4>{I0e3>{i?mk1<7?tH3d4?xh0lk0;6<uG2g58yk1ck3:1=vF=f69~j2bc290:wE<i7:m3ac=83;pD?h8;|l4`c<728qC>k94}o5f4?6=9rB9j:5rn6g2>5<6sA8m;6sa7d094?7|@;l<7p`8e283>4}O:o=0qc9j4;295~N5n>1vb:k::182M4a?2we;h850;3xL7`03td<i:4?:0yK6c1<ug=n47>51zJ1b2=zf>o26=4>{I0e3>{i?lk1<7?tH3d4?xh0mk0;6<uG2g58yk1bk3:1=vF=f69~j2cc290:wE<i7:m3`c=83;pD?h8;|l4ac<728qC>k94}o5e4?6=9rB9j:5rn6d2>5<6sA8m;6sa7g094?7|@;l<7p`8f283>4}O:o=0qc9i4;295~N5n>1vb:h::182M4a?2we;k850;3xL7`03td<j:4?:0yK6c1<ug=m47>51zJ1b2=zf>l26=4>{I0e3>{i?ok1<7?tH3d4?xh0nk0;6<uG2g58yk1ak3:1=vF=f69~j2`c290:wE<i7:m3cc=83;pD?h8;|l4bc<728qC>k94}o:34?6=9rB9j:5rn922>5<6sA8m;6sa81094?7|@;l<7p`70283>4}O:o=0qc6?4;295~N5n>1vb5>::182M4a?2we4=850;3xL7`03td3<:4?:0yK6c1<ug2;47>51zJ1b2=zf1:26=4>{I0e3>{i09k1<7?tH3d4?xh?8k0;6<uG2g58yk>7k3:1=vF=f69~j=6c290:wE<i7:m<5c=83;pD?h8;|l;4c<728qC>k94}o:24?6=9rB9j:5rn932>5<6sA8m;6sa80094?7|@;l<7p`71283>4}O:o=0qc6>4;295~N5n>1vb5?::182M4a?2we4<850;3xL7`03td3=:4?:0yK6c1<ug2:47>51zJ1b2=zf1;26=4>{I0e3>{i08k1<7?tH3d4?xh?9k0;6<uG2g58yk>6k3:1=vF=f69~j=7c290:wE<i7:m<4c=83;pD?h8;|l;5c<728qC>k94}o:14?6=9rB9j:5rn902>5<6sA8m;6sa83094?7|@;l<7p`72283>4}O:o=0qc6=4;295~N5n>1vb5<::182M4a?2we4?850;3xL7`03td3>:4?:0yK6c1<ug2947>51zJ1b2=zf1826=4>{I0e3>{i0;k1<7?tH3d4?xh?:k0;6<uG2g58yk>5k3:1=vF=f69~j=4c290:wE<i7:m<7c=83;pD?h8;|l;6c<728qC>k94}o:04?6=9rB9j:5rn912>5<6sA8m;6sa82094?7|@;l<7p`73283>4}O:o=0qc6<4;295~N5n>1vb5=::182M4a?2we4>850;3xL7`03td3?:4?:0yK6c1<ug2847>51zJ1b2=zf1926=4>{I0e3>{i0:k1<7?tH3d4?xh?;k0;6<uG2g58yk>4k3:1=vF=f69~j=5c290:wE<i7:m<6c=83;pD?h8;|l;7c<728qC>k94}o:74?6=9rB9j:5rn962>5<6sA8m;6sa85094?7|@;l<7p`74283>4}O:o=0qc6;4;295~N5n>1vb5:::182M4a?2we49850;3xL7`03td38:4?:0yK6c1<ug2?47>51zJ1b2=zf1>26=4>{I0e3>{i0=k1<7?tH3d4?xh?<k0;6<uG2g58yk>3k3:1=vF=f69~j=2c290:wE<i7:m<1c=83;pD?h8;|l;0c<728qC>k94}o:64?6=9rB9j:5rn972>5<6sA8m;6sa84094?7|@;l<7p`75283>4}O:o=0qc6:4;295~N6==1C=8=4H3d4?xh?=<0;6<uG1468L4343A8m;6sa84494?7|@;l<7p`75683>4}O:o=0qc6:8;295~N5n>1vb5;6:182M4a?2we48o50;3xL7`03td39o4?:0yK6c1<ug2>o7>51zJ1b2=zf1?o6=4>{I0e3>{i0<o1<7?tH3d4?xh?=o0;6<uG2g58yk>183:1=vF=f69~j=06290:wE<i7:m<34=83;pD?h8;|l;26<728qC>k94}o:50?6=9rB9j:5rn946>5<6sA8m;6sa87494?7|@;l<7p`76683>4}O:o=0qc698;295~N5n>1vb586:182M4a?2we4;o50;3xL7`03td3:o4?:0yK6c1<ug2=o7>51zJ1b2=zf1<o6=4>{I0e3>{i0?o1<7?tH3d4?xh?>o0;6<uG2g58yk>083:1=vF=f69~j=16290:wE<i7:m<24=83;pD?h8;|l;36<728qC>k94}o:40?6=9rB9j:5rn956>5<6sA8m;6sa86494?7|@;l<7p`77683>4}O:o=0qc688;295~N5n>1vb596:182M4a?2we4:o50;3xL7`03td3;o4?:0yK6c1<ug2<o7>51zJ1b2=zf1=o6=4>{I0e3>{i0>o1<7?tH3d4?xh??o0;6<uG2g58yk>?83:1=vF=f69~j=>6290:wE<i7:m<=4=83;pD?h8;|l;<6<728qC>k94}o:;0?6=9rB9j:5rn9:6>5<6sA8m;6sa89494?7|@;l<7p`78683>4}O:o=0qc678;295~N5n>1vb566:182M4a?2we45o50;3xL7`03td34o4?:0yK6c1<ug23o7>51zJ1b2=zf12o6=4>{I0e3>{i01o1<7?tH3d4?xh?0o0;6<uG2g58yk>>83:1=vF=f69~j=?6290:wE<i7:m<<4=83;pD?h8;|l;=6<728qC>k94}o::0?6=9rB9j:5rn9;6>5<6sA8m;6sa88494?7|@;l<7p`79683>4}O:o=0qc668;295~N5n>1vb576:182M4a?2we44o50;3xL7`03td35o4?:0yK6c1<ug22o7>51zJ1b2=zf13o6=4>{I0e3>{i00o1<7?tH3d4?xh?1o0;6<uG2g58yk>f83:1=vF=f69~j=g6290:wE<i7:m<d4=83;pD?h8;|l;e6<728qC>k94}o:b0?6=9rB9j:5rn9c6>5<6sA8m;6sa8`494?7|@;l<7p`7a683>4}O:o=0qc6n8;295~N5n>1vb5o6:182M4a?2we4lo50;3xL7`03td3mo4?:0yK6c1<ug2jo7>51zJ1b2=zf1ko6=4>{I0e3>{i0ho1<7?tH3d4?xh?io0;6<uG2g58yk>e83:1=vF=f69~j=d6290:wE<i7:m<g4=83;pD?h8;|l;f6<728qC>k94}o:a0?6=9rB9j:5rn9`6>5<6sA8m;6sa8c494?7|@;l<7p`7b683>4}O:o=0qc6m8;295~N5n>1vb5l6:182M4a?2we4oo50;3xL7`03td3no4?:0yK6c1<ug2io7>51zJ1b2=zf1ho6=4>{I0e3>{i0ko1<7?tH3d4?xh?jo0;6<uG2g58yk>d83:1=vF=f69~j=e6290:wE<i7:m<f4=83;pD?h8;|l;g6<728qC>k94}o:`0?6=9rB9j:5rn9a6>5<6sA8m;6sa8b494?7|@8??7E?:3:J1b2=zutwKLNun0085a<`cj03vLMLt0|BCT~{GH
\ No newline at end of file
-------------------------------------------------------------------------------
-- Copyright (c) 2015 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.6
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_icon_6_port.vhd
-- /___/ /\ Timestamp : Sex Fev 20 10:29:57 BRST 2015
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_icon_6_port IS
port (
CONTROL0: inout std_logic_vector(35 downto 0);
CONTROL1: inout std_logic_vector(35 downto 0);
CONTROL2: inout std_logic_vector(35 downto 0);
CONTROL3: inout std_logic_vector(35 downto 0);
CONTROL4: inout std_logic_vector(35 downto 0);
CONTROL5: inout std_logic_vector(35 downto 0));
END chipscope_icon_6_port;
ARCHITECTURE chipscope_icon_6_port_a OF chipscope_icon_6_port IS
BEGIN
END chipscope_icon_6_port_a;
This source diff could not be displayed because it is too large. You can view the blob instead.
-------------------------------------------------------------------------------
-- Copyright (c) 2015 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.6
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_ila.vhd
-- /___/ /\ Timestamp : Sex Fev 20 10:37:45 BRST 2015
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_ila IS
port (
CONTROL: inout std_logic_vector(35 downto 0);
CLK: in std_logic;
TRIG0: in std_logic_vector(31 downto 0);
TRIG1: in std_logic_vector(31 downto 0);
TRIG2: in std_logic_vector(31 downto 0);
TRIG3: in std_logic_vector(31 downto 0));
END chipscope_ila;
ARCHITECTURE chipscope_ila_a OF chipscope_ila IS
BEGIN
END chipscope_ila_a;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment