Commit a89154fe authored by Adrian Byszuk's avatar Adrian Byszuk

Working testbench, design PASSED - custom sh script version

parent bddcfc8c
......@@ -23,14 +23,6 @@
</db_ref>
</db_ref_list>
<WVObjectSize size="12" />
<wave_markers>
<marker time="702000000" label="" />
<marker time="804000000" label="" />
<marker time="908000000" label="" />
<marker time="1312000000" label="" />
<marker time="2425785000" label="" />
<marker time="3698049000" label="" />
</wave_markers>
<wvobject fp_name="/board/EP/user_clk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">user_clk</obj_property>
<obj_property name="ObjectShortName">user_clk</obj_property>
......
......@@ -844,7 +844,7 @@ begin
board.Desc_tx_MRd_TAG = 'hE0;
board.RP.com_usrapp.TSK_EXPECT_MEMRD(3'b000, 1'b0, 1'b0, 2'b00,
board.Tx_MRd_Leng,
'h8,
board.localID,
board.Desc_tx_MRd_TAG,
4'hf,
......@@ -932,9 +932,9 @@ begin
//////////////////////////////////////////////////////////////////////////////////
# 100
TSK_CLK_EAT(100);
$display("### Simulation FINISHED ###\n");
$finish();
$finish(2);
end
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment