Commit aa91ee5b authored by Lucas Russo's avatar Lucas Russo

platform/**/axi_datamover_bpm/axi_datamover_bpm.*: increase Burst Size to 128

As we have a modest throughput requirement, we
need to increase the maximum burst size.
For a convenience, we selected the maximum value,
128.
parent 26580185
......@@ -15,7 +15,7 @@
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axi_mm2s_data_width">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axis_mm2s_tdata_width">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_include_mm2s_dre">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_mm2s_burst_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_mm2s_burst_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_include_mm2s_stsfifo">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_mm2s_stscmd_fifo_depth">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_mm2s_btt_used">23</spirit:configurableElementValue>
......@@ -26,7 +26,7 @@
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axi_s2mm_data_width">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s_axis_s2mm_tdata_width">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_include_s2mm_dre">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s2mm_burst_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s2mm_burst_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_include_s2mm_stsfifo">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s2mm_stscmd_fifo_depth">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s2mm_btt_used">23</spirit:configurableElementValue>
......@@ -55,7 +55,7 @@
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_STSCMD_FIFO_DEPTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_STSCMD_IS_ASYNC">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_MM2S_DRE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_BURST_SIZE">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_BURST_SIZE">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_BTT_USED">23</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MM2S_ADDR_PIPE_DEPTH">3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_S2MM">1</spirit:configurableElementValue>
......@@ -68,7 +68,7 @@
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_STSCMD_FIFO_DEPTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_STSCMD_IS_ASYNC">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_S2MM_DRE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_BURST_SIZE">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_BURST_SIZE">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_BTT_USED">23</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_SUPPORT_INDET_BTT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S2MM_ADDR_PIPE_DEPTH">4</spirit:configurableElementValue>
......
......@@ -891,7 +891,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>b254539c</spirit:value>
<spirit:value>9cff8666</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -907,7 +907,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -944,7 +944,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>b254539c</spirit:value>
<spirit:value>9cff8666</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -960,7 +960,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -979,7 +979,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>b254539c</spirit:value>
<spirit:value>9cff8666</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -995,7 +995,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -1029,7 +1029,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>dad87dd9</spirit:value>
<spirit:value>b2eaf4aa</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -1045,7 +1045,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -1064,7 +1064,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>dad87dd9</spirit:value>
<spirit:value>b2eaf4aa</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -1080,7 +1080,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -1098,7 +1098,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>b254539c</spirit:value>
<spirit:value>9cff8666</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -1114,7 +1114,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Fri Dec 18 10:07:07 UTC 2015</spirit:value>
<spirit:value>Wed Jan 06 17:21:47 UTC 2016</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>StaleAtRelink</spirit:name>
......@@ -1132,7 +1132,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>customizationCRC</spirit:name>
<spirit:value>b254539c</spirit:value>
<spirit:value>9cff8666</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>customizationCRCversion</spirit:name>
......@@ -3233,7 +3233,7 @@
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="Integer">
<spirit:name>C_MM2S_BURST_SIZE</spirit:name>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MM2S_BURST_SIZE" spirit:minimum="2" spirit:maximum="256">4</spirit:value>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MM2S_BURST_SIZE" spirit:minimum="2" spirit:maximum="256">128</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="Integer">
<spirit:name>C_MM2S_BTT_USED</spirit:name>
......@@ -3285,7 +3285,7 @@
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="Integer">
<spirit:name>C_S2MM_BURST_SIZE</spirit:name>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S2MM_BURST_SIZE" spirit:minimum="2" spirit:maximum="256">4</spirit:value>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S2MM_BURST_SIZE" spirit:minimum="2" spirit:maximum="256">128</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="Integer">
<spirit:name>C_S2MM_BTT_USED</spirit:name>
......@@ -4235,7 +4235,7 @@
<spirit:name>c_mm2s_burst_size</spirit:name>
<spirit:displayName>Maximum Burst Size</spirit:displayName>
<spirit:description>Select the maximum burst length to be used on AXI4 interface.</spirit:description>
<spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.c_mm2s_burst_size" spirit:choiceRef="choices_3" spirit:order="1600">4</spirit:value>
<spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.c_mm2s_burst_size" spirit:choiceRef="choices_3" spirit:order="1600">128</spirit:value>
<spirit:vendorExtensions>
<xilinx:parameterInfo>
<xilinx:enablement>
......@@ -4385,7 +4385,7 @@
<spirit:name>c_s2mm_burst_size</spirit:name>
<spirit:displayName>Maximum Burst Size</spirit:displayName>
<spirit:description>Select the maximum burst length to be used on AXI4 interface.</spirit:description>
<spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.c_s2mm_burst_size" spirit:choiceRef="choices_7" spirit:order="2700">4</spirit:value>
<spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.c_s2mm_burst_size" spirit:choiceRef="choices_7" spirit:order="2700">128</spirit:value>
<spirit:vendorExtensions>
<xilinx:parameterInfo>
<xilinx:enablement>
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment