Commit ab7a2cf9 authored by Adrian Byszuk's avatar Adrian Byszuk

Add firmware support for DDR core. Synthesis support.

parent 525f5ca5
This diff is collapsed.
...@@ -10,8 +10,9 @@ files = ["v6abb64Package_efifo_elink.vhd", ...@@ -10,8 +10,9 @@ files = ["v6abb64Package_efifo_elink.vhd",
"Registers.vhd", "Registers.vhd",
"RxIn_Delays.vhd", "RxIn_Delays.vhd",
"Tx_Output_Arbitor.vhd", "Tx_Output_Arbitor.vhd",
"bram_DDRs_Control.vhd", "DDRs_Control.vhd",
"bram_DDRs_Control_Loopback.vhd", "bram_DDRs_Control_Loopback.vhd",
"ddr_Transact.vhd",
"rx_CplD_Channel.vhd", "rx_CplD_Channel.vhd",
"rx_MRd_Channel.vhd", "rx_MRd_Channel.vhd",
"rx_MWr_Channel.vhd", "rx_MWr_Channel.vhd",
......
This diff is collapsed.
This diff is collapsed.
...@@ -14,7 +14,7 @@ package abb64Package is ...@@ -14,7 +14,7 @@ package abb64Package is
-- Implemet a design with only one FIFO and only one BRAM Module: For Loopback Test!! -- Implemet a design with only one FIFO and only one BRAM Module: For Loopback Test!!
constant USE_LOOPBACK_TEST : boolean := true; constant USE_LOOPBACK_TEST : boolean := false;
-- Declare constants -- Declare constants
...@@ -111,8 +111,14 @@ package abb64Package is ...@@ -111,8 +111,14 @@ package abb64Package is
-- 512 Mb= 64MB : 26 -- 512 Mb= 64MB : 26
-- 1024 Mb= 128MB : 27 -- 1024 Mb= 128MB : 27
-- 2048 Mb= 256MB : 28 -- 2048 Mb= 256MB : 28
constant C_DDR_IAWIDTH : integer range 24 to 28 := 26; -- 4096 Mb= 512MB : 29
-- 8192 Mb= 1024MB : 30
-- 16384 Mb= 2048MB : 31
-- 32768 Mb= 4096MB : 32
constant C_DDR_IAWIDTH : integer range 24 to 32 := 30;
--- DDR SDRAM controller data width, dependent on controller, memory type & clock speed used
constant C_DDR_DATAWIDTH : integer range 32 to 512 := 512;
--- Block RAM address bus width. Variation requires BRAM core regeneration. --- Block RAM address bus width. Variation requires BRAM core regeneration.
constant C_PRAM_AWIDTH : integer range 8 to 28 := 12; constant C_PRAM_AWIDTH : integer range 8 to 28 := 12;
...@@ -120,7 +126,6 @@ package abb64Package is ...@@ -120,7 +126,6 @@ package abb64Package is
--- Width for Interrupt generation counter --- Width for Interrupt generation counter
constant C_CNT_GINT_WIDTH : integer := 30; constant C_CNT_GINT_WIDTH : integer := 30;
-- --- Emulation FIFOs' address width -- --- Emulation FIFOs' address width
-- Constant C_FIFO_AWIDTH : integer := 5; -- Constant C_FIFO_AWIDTH : integer := 5;
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment