Commit b1297c83 authored by Lucas Russo's avatar Lucas Russo

top/afc_v3/*/dbe_bpm2/*: fix wrong comment description

parent d87d88c2
......@@ -498,9 +498,9 @@ architecture rtl of dbe_bpm2 is
c_slv_acq_core_1_id => f_sdb_embed_device(c_xwb_acq_core_sdb, x"00360000"), -- Data Acquisition control port
c_slv_periph_id => f_sdb_embed_bridge(c_periph_bridge_sdb, x"00370000"), -- General peripherals control port
c_slv_afc_diag_id => f_sdb_embed_device(c_xwb_afc_diag_sdb, x"00380000"), -- AFC Diagnostics control port
c_slv_trig_iface_id => f_sdb_embed_device(c_xwb_trigger_iface_sdb, x"00390000"), -- AFC Diagnostics control port
c_slv_trig_mux_0_id => f_sdb_embed_device(c_xwb_trigger_mux_sdb, x"00400000"), -- AFC Diagnostics control port
c_slv_trig_mux_1_id => f_sdb_embed_device(c_xwb_trigger_mux_sdb, x"00410000"), -- AFC Diagnostics control port
c_slv_trig_iface_id => f_sdb_embed_device(c_xwb_trigger_iface_sdb, x"00390000"), -- Trigger Interface port
c_slv_trig_mux_0_id => f_sdb_embed_device(c_xwb_trigger_mux_sdb, x"00400000"), -- Trigger Mux 1 port
c_slv_trig_mux_1_id => f_sdb_embed_device(c_xwb_trigger_mux_sdb, x"00410000"), -- Trigger Mux 2 port
c_slv_sdb_repo_url_id => f_sdb_embed_repo_url(c_sdb_repo_url),
c_slv_sdb_top_syn_id => f_sdb_embed_synthesis(c_sdb_top_syn_info),
c_slv_sdb_dsp_cores_id => f_sdb_embed_synthesis(c_sdb_dsp_cores_syn_info),
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment