Commit b2d35e31 authored by Lucas Russo's avatar Lucas Russo

syn/*/dbe_pbpm: use new fmcpico_1M machine name for dsp-cores

parent 524d4826
......@@ -31,8 +31,7 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"):
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
# Doesn't matter got PBPM
machine_pkg = "uvx_250M"
machine_pkg = "pbpm_fmcpico1M"
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_pbpm" ] };
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment