Commit e23b0631 authored by Lucas Russo's avatar Lucas Russo

syn/*/dbe_pbpm: add additional implementation properties

parent dbd40b85
......@@ -7,8 +7,29 @@ syn_package = "ffg1156"
syn_top = "dbe_pbpm"
syn_project = "dbe_pbpm"
syn_tool = "vivado"
syn_properties = [
["steps.synth_design.args.more options", "-verbose"],
["steps.opt_design.args.verbose", "1"],
["steps.opt_design.args.directive", "Explore"],
["steps.opt_design.is_enabled", "1"],
["steps.place_design.args.directive", "Explore"],
["steps.place_design.args.more options", "-verbose"],
["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"],
["steps.phys_opt_design.args.more options", "-verbose"],
["steps.phys_opt_design.is_enabled", "1"],
["steps.route_design.args.directive", "Explore"],
["steps.route_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.args.directive", "AddRetime"],
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.is_enabled", "1"],
["steps.write_bitstream.args.verbose", "1"]]
files = ["synthesis_descriptor_pkg.vhd"];
import os
import sys
if os.path.isfile("synthesis_descriptor_pkg.vhd"):
files = ["synthesis_descriptor_pkg.vhd"];
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
# Doesn't matter got PBPM
machine_pkg = "uvx_250M"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment