Commit f1849bfc authored by Lucas Russo's avatar Lucas Russo

syn/afc_v3/*: add missing Vivado properties to Manifest.py

parent 8e6550de
......@@ -11,14 +11,17 @@ syn_properties = [
["steps.synth_design.args.more options", "-verbose"],
["steps.opt_design.args.verbose", "1"],
["steps.opt_design.args.directive", "Explore"],
["steps.opt_design.is_enabled", "1"],
["steps.place_design.args.directive", "Explore"],
["steps.place_design.args.more options", "-verbose"],
["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"],
["steps.phys_opt_design.args.more options", "-verbose"],
["steps.phys_opt_design.is_enabled", "1"],
["steps.route_design.args.directive", "Explore"],
["steps.route_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.args.directive", "AddRetime"],
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.is_enabled", "1"],
["steps.write_bitstream.args.verbose", "1"]]
import os
......
......@@ -11,14 +11,17 @@ syn_properties = [
["steps.synth_design.args.more options", "-verbose"],
["steps.opt_design.args.verbose", "1"],
["steps.opt_design.args.directive", "Explore"],
["steps.opt_design.is_enabled", "1"],
["steps.place_design.args.directive", "Explore"],
["steps.place_design.args.more options", "-verbose"],
["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"],
["steps.phys_opt_design.args.more options", "-verbose"],
["steps.phys_opt_design.is_enabled", "1"],
["steps.route_design.args.directive", "Explore"],
["steps.route_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.args.directive", "AddRetime"],
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.is_enabled", "1"],
["steps.write_bitstream.args.verbose", "1"]]
import os
......
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment