Commit fad488cb authored by Lucas Russo's avatar Lucas Russo

hdl/*: update Manifest files

parent fa5ab8e4
......@@ -8,6 +8,7 @@ modules = { "local": [
"modules/fabric",
"ip_cores/general-cores",
"ip_cores/etherbone-core",
"ip_cores/dsp-cores",
"platform/virtex6/chipscope"]
# "git" : [
# ]
......
files = ["icon_1_port/chipscope_icon_1_port.ngc", "icon_2_port/chipscope_icon_2_port.ngc",
"icon_4_port/chipscope_icon_4_port.ngc", "chipscope_ila.ngc"]
"icon_4_port/chipscope_icon_4_port.ngc", "ila/chipscope_ila.ngc", "ila/chipscope_ila_8192.ngc"]
This diff is collapsed.
-------------------------------------------------------------------------------
-- Copyright (c) 2013 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 13.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_ila_8192.vhd
-- /___/ /\ Timestamp : Wed Mar 27 17:21:28 BRT 2013
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_ila_8192 IS
port (
CONTROL: inout std_logic_vector(35 downto 0);
CLK: in std_logic;
TRIG0: in std_logic_vector(7 downto 0);
TRIG1: in std_logic_vector(31 downto 0);
TRIG2: in std_logic_vector(31 downto 0);
TRIG3: in std_logic_vector(31 downto 0);
TRIG4: in std_logic_vector(31 downto 0));
END chipscope_ila_8192;
ARCHITECTURE chipscope_ila_8192_a OF chipscope_ila_8192 IS
BEGIN
END chipscope_ila_8192_a;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment