• Lucas Russo's avatar
    modules/*/*trigger_iface: fix bogus receiving pulse event when transmitting · 16a04632
    Lucas Russo authored
    IOBUF primitives always have the "input"
    signal available for further use. This means
    that even when transmitting signals (buffer
    is output) the input signal will have a copy
    of the transmitted pulse.
    
    This is principle is not a problem, but we
    were using this signal to count received events,
    so we changed this to use this signal only if
    the buffer direction is set to input.
    16a04632
Name
Last commit
Last update
..
dbe_common Loading commit data...
dbe_wishbone Loading commit data...
fabric Loading commit data...
fmc_adc_common Loading commit data...
pcie Loading commit data...
rffe_top Loading commit data...
utils Loading commit data...