Commit 3e012442 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

saving xise file

parent 6139b5e4
......@@ -7,10 +7,10 @@
#----------------------------------------
NET "CLK20_VCXO" LOC = E16;
NET "CLK20_VCXO" IOSTANDARD = "LVCMOS33";
NET "CLK20_VCXO" TNM_NET = "CLK20_VCXO";
TIMESPEC TS_CLK20_VCXO = PERIOD "CLK20_VCXO" 20 MHz HIGH 50%;
# NET "CLK20_VCXO" LOC = E16;
# NET "CLK20_VCXO" IOSTANDARD = "LVCMOS33";
# NET "CLK20_VCXO" TNM_NET = "CLK20_VCXO";
# TIMESPEC TS_CLK20_VCXO = PERIOD "CLK20_VCXO" 20 MHz HIGH 50%;
NET "FPGA_CLK_N" LOC = G11;
NET "FPGA_CLK_N" IOSTANDARD = "LVDS_25";
......
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment