Commit 13cce547 authored by mcattin's avatar mcattin

Fix utc_core addr width and utc coarse counter overflow limit.

git-svn-id: http://svn.ohwr.org/fmc-adc-100m14b4cha/trunk@90 ddd67a1a-c8ad-4635-afe9-0b8a11d8f8e4
parent 12621ca0
......@@ -229,7 +229,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
acq_start_p_i : in std_logic;
acq_stop_p_i : in std_logic;
acq_end_p_i : in std_logic;
wb_adr_i : in std_logic_vector(3 downto 0);
wb_adr_i : in std_logic_vector(4 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
......
......@@ -203,7 +203,7 @@ begin
elsif utc_coarse_load_en = '1' then
utc_coarse_cnt <= unsigned(utc_coarse_load_value);
local_pps <= '0';
elsif utc_coarse_cnt = to_unsigned(1249999999, utc_coarse_cnt'length) then
elsif utc_coarse_cnt = to_unsigned(124999999, utc_coarse_cnt'length) then
utc_coarse_cnt <= (others => '0');
local_pps <= '1';
else
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment