Commit 5d537786 authored by Matthieu Cattin's avatar Matthieu Cattin

doc: Rename utc core in timetag core (.tex was missing).

parent 60a3fd65
...@@ -4,11 +4,11 @@ ...@@ -4,11 +4,11 @@
@item @code{0x0} @tab @item @code{0x0} @tab
REG @tab REG @tab
@code{seconds} @tab @code{seconds} @tab
UTC seconds register Timetag seconds register
@item @code{0x4} @tab @item @code{0x4} @tab
REG @tab REG @tab
@code{coarse} @tab @code{coarse} @tab
UTC coarse time register, system clock ticks (125MHz) Timetag coarse time register, system clock ticks (125MHz)
@item @code{0x8} @tab @item @code{0x8} @tab
REG @tab REG @tab
@code{trig_tag_meta} @tab @code{trig_tag_meta} @tab
...@@ -16,7 +16,7 @@ Trigger time-tag metadata register ...@@ -16,7 +16,7 @@ Trigger time-tag metadata register
@item @code{0xc} @tab @item @code{0xc} @tab
REG @tab REG @tab
@code{trig_tag_seconds} @tab @code{trig_tag_seconds} @tab
Trigger time-tag UTC seconds register Trigger time-tag seconds register
@item @code{0x10} @tab @item @code{0x10} @tab
REG @tab REG @tab
@code{trig_tag_coarse} @tab @code{trig_tag_coarse} @tab
...@@ -32,7 +32,7 @@ Acquisition start time-tag metadata register ...@@ -32,7 +32,7 @@ Acquisition start time-tag metadata register
@item @code{0x1c} @tab @item @code{0x1c} @tab
REG @tab REG @tab
@code{acq_start_tag_seconds} @tab @code{acq_start_tag_seconds} @tab
Acquisition start time-tag UTC seconds register Acquisition start time-tag seconds register
@item @code{0x20} @tab @item @code{0x20} @tab
REG @tab REG @tab
@code{acq_start_tag_coarse} @tab @code{acq_start_tag_coarse} @tab
...@@ -48,7 +48,7 @@ Acquisition stop time-tag metadata register ...@@ -48,7 +48,7 @@ Acquisition stop time-tag metadata register
@item @code{0x2c} @tab @item @code{0x2c} @tab
REG @tab REG @tab
@code{acq_stop_tag_seconds} @tab @code{acq_stop_tag_seconds} @tab
Acquisition stop time-tag UTC seconds register Acquisition stop time-tag seconds register
@item @code{0x30} @tab @item @code{0x30} @tab
REG @tab REG @tab
@code{acq_stop_tag_coarse} @tab @code{acq_stop_tag_coarse} @tab
...@@ -64,7 +64,7 @@ Acquisition end time-tag metadata register ...@@ -64,7 +64,7 @@ Acquisition end time-tag metadata register
@item @code{0x3c} @tab @item @code{0x3c} @tab
REG @tab REG @tab
@code{acq_end_tag_seconds} @tab @code{acq_end_tag_seconds} @tab
Acquisition end time-tag UTC seconds register Acquisition end time-tag seconds register
@item @code{0x40} @tab @item @code{0x40} @tab
REG @tab REG @tab
@code{acq_end_tag_coarse} @tab @code{acq_end_tag_coarse} @tab
...@@ -74,21 +74,21 @@ REG @tab ...@@ -74,21 +74,21 @@ REG @tab
@code{acq_end_tag_fine} @tab @code{acq_end_tag_fine} @tab
Acquisition end time-tag fine time register, always 0 (used for time-tag format compatibility) Acquisition end time-tag fine time register, always 0 (used for time-tag format compatibility)
@end multitable @end multitable
@regsection @code{seconds} - UTC seconds register @regsection @code{seconds} - Timetag seconds register
UTC seconds counter. Incremented everytime the UTC coarse counter overflows. Seconds counter. Incremented everytime the coarse counter overflows.
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
@item @code{31...0} @item @code{31...0}
@tab R/W @tab @tab R/W @tab
@code{SECONDS} @code{SECONDS}
@tab @code{X} @tab @tab @code{X} @tab
UTC seconds Timetag seconds
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
@end multitable @end multitable
@regsection @code{coarse} - UTC coarse time register, system clock ticks (125MHz) @regsection @code{coarse} - Timetag coarse time register, system clock ticks (125MHz)
UTC coarse time counter clocked by 125MHz system clock. Coarse time counter clocked by 125MHz system clock.
Counts from 0 to 125000000. Counts from 0 to 125000000.
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
...@@ -96,7 +96,7 @@ Counts from 0 to 125000000. ...@@ -96,7 +96,7 @@ Counts from 0 to 125000000.
@tab R/W @tab @tab R/W @tab
@code{COARSE} @code{COARSE}
@tab @code{X} @tab @tab @code{X} @tab
UTC coarse time Timetag coarse time
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
...@@ -114,18 +114,18 @@ Trigger time-tag metadata ...@@ -114,18 +114,18 @@ Trigger time-tag metadata
@headitem Field @tab Description @headitem Field @tab Description
@item @code{trig_tag_meta} @tab Holds time-tag metadata of the last trigger event @item @code{trig_tag_meta} @tab Holds time-tag metadata of the last trigger event
@end multitable @end multitable
@regsection @code{trig_tag_seconds} - Trigger time-tag UTC seconds register @regsection @code{trig_tag_seconds} - Trigger time-tag seconds register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
@item @code{31...0} @item @code{31...0}
@tab R/O @tab @tab R/O @tab
@code{TRIG_TAG_SECONDS} @code{TRIG_TAG_SECONDS}
@tab @code{X} @tab @tab @code{X} @tab
Trigger time-tag UTC seconds Trigger time-tag seconds
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
@item @code{trig_tag_seconds} @tab Holds time-tag UTC seconds of the last trigger event @item @code{trig_tag_seconds} @tab Holds time-tag seconds of the last trigger event
@end multitable @end multitable
@regsection @code{trig_tag_coarse} - Trigger time-tag coarse time (system clock ticks 125MHz) register @regsection @code{trig_tag_coarse} - Trigger time-tag coarse time (system clock ticks 125MHz) register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
...@@ -166,18 +166,18 @@ Acquisition start time-tag metadata ...@@ -166,18 +166,18 @@ Acquisition start time-tag metadata
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_start_tag_meta} @tab Holds time-tag metadata of the last acquisition start event @item @code{acq_start_tag_meta} @tab Holds time-tag metadata of the last acquisition start event
@end multitable @end multitable
@regsection @code{acq_start_tag_seconds} - Acquisition start time-tag UTC seconds register @regsection @code{acq_start_tag_seconds} - Acquisition start time-tag seconds register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
@item @code{31...0} @item @code{31...0}
@tab R/O @tab @tab R/O @tab
@code{ACQ_START_TAG_SECONDS} @code{ACQ_START_TAG_SECONDS}
@tab @code{X} @tab @tab @code{X} @tab
Acquisition start time-tag UTC seconds Acquisition start time-tag seconds
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_start_tag_seconds} @tab Holds time-tag UTC seconds of the last acquisition start event @item @code{acq_start_tag_seconds} @tab Holds time-tag seconds of the last acquisition start event
@end multitable @end multitable
@regsection @code{acq_start_tag_coarse} - Acquisition start time-tag coarse time (system clock ticks 125MHz) register @regsection @code{acq_start_tag_coarse} - Acquisition start time-tag coarse time (system clock ticks 125MHz) register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
...@@ -218,18 +218,18 @@ Acquisition stop time-tag metadata ...@@ -218,18 +218,18 @@ Acquisition stop time-tag metadata
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_stop_tag_meta} @tab Holds time-tag metadata of the last acquisition stop event @item @code{acq_stop_tag_meta} @tab Holds time-tag metadata of the last acquisition stop event
@end multitable @end multitable
@regsection @code{acq_stop_tag_seconds} - Acquisition stop time-tag UTC seconds register @regsection @code{acq_stop_tag_seconds} - Acquisition stop time-tag seconds register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
@item @code{31...0} @item @code{31...0}
@tab R/O @tab @tab R/O @tab
@code{ACQ_STOP_TAG_SECONDS} @code{ACQ_STOP_TAG_SECONDS}
@tab @code{X} @tab @tab @code{X} @tab
Acquisition stop time-tag UTC seconds Acquisition stop time-tag seconds
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_stop_tag_seconds} @tab Holds time-tag UTC seconds of the last acquisition stop event @item @code{acq_stop_tag_seconds} @tab Holds time-tag seconds of the last acquisition stop event
@end multitable @end multitable
@regsection @code{acq_stop_tag_coarse} - Acquisition stop time-tag coarse time (system clock ticks 125MHz) register @regsection @code{acq_stop_tag_coarse} - Acquisition stop time-tag coarse time (system clock ticks 125MHz) register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
...@@ -270,18 +270,18 @@ Acquisition end time-tag metadata ...@@ -270,18 +270,18 @@ Acquisition end time-tag metadata
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_end_tag_meta} @tab Holds time-tag metadata of the last acquisition end event @item @code{acq_end_tag_meta} @tab Holds time-tag metadata of the last acquisition end event
@end multitable @end multitable
@regsection @code{acq_end_tag_seconds} - Acquisition end time-tag UTC seconds register @regsection @code{acq_end_tag_seconds} - Acquisition end time-tag seconds register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
@headitem Bits @tab Access @tab Prefix @tab Default @tab Name @headitem Bits @tab Access @tab Prefix @tab Default @tab Name
@item @code{31...0} @item @code{31...0}
@tab R/O @tab @tab R/O @tab
@code{ACQ_END_TAG_SECONDS} @code{ACQ_END_TAG_SECONDS}
@tab @code{X} @tab @tab @code{X} @tab
Acquisition end time-tag UTC seconds Acquisition end time-tag seconds
@end multitable @end multitable
@multitable @columnfractions 0.15 0.85 @multitable @columnfractions 0.15 0.85
@headitem Field @tab Description @headitem Field @tab Description
@item @code{acq_end_tag_seconds} @tab Holds time-tag UTC seconds of the last acquisition end event @item @code{acq_end_tag_seconds} @tab Holds time-tag seconds of the last acquisition end event
@end multitable @end multitable
@regsection @code{acq_end_tag_coarse} - Acquisition end time-tag coarse time (system clock ticks 125MHz) register @regsection @code{acq_end_tag_coarse} - Acquisition end time-tag coarse time (system clock ticks 125MHz) register
@multitable @columnfractions .10 .10 .15 .10 .55 @multitable @columnfractions .10 .10 .15 .10 .55
......
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- File : ../rtl/timetag_core_regs.vhd -- File : ../rtl/timetag_core_regs.vhd
-- Author : auto-generated by wbgen2 from timetag_core_regs.wb -- Author : auto-generated by wbgen2 from timetag_core_regs.wb
-- Created : Fri May 3 16:52:50 2013 -- Created : Mon May 6 17:45:24 2013
-- Standard : VHDL'87 -- Standard : VHDL'87
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE timetag_core_regs.wb -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE timetag_core_regs.wb
......
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
* File : timetag_core_regs.h * File : timetag_core_regs.h
* Author : auto-generated by wbgen2 from timetag_core_regs.wb * Author : auto-generated by wbgen2 from timetag_core_regs.wb
* Created : Fri May 3 16:52:50 2013 * Created : Mon May 6 17:45:24 2013
* Standard : ANSI C * Standard : ANSI C
THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE timetag_core_regs.wb THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE timetag_core_regs.wb
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment