Commit bf0d09de authored by Dimitris Lampridis's avatar Dimitris Lampridis

minor reset cleanup

parent 820b073a
Subproject commit aeee595d5d0079f036d3eade44d479a8650f1285
Subproject commit 67d353adecea74d6a29e21919ff714da94fd8c76
......@@ -415,7 +415,7 @@ begin
port map(
clk_sys_i => sys_clk_i,
clk_in_i => fs_clk,
rst_n_i => sys_rst_n_i,
rst_n_i => '1',
pps_p1_i => '0',
freq_o => fs_freq_t,
freq_valid_o => fs_freq_valid
......
......@@ -234,7 +234,7 @@ architecture rtl of fmc_adc_mezzanine is
signal sys_sda_oe_n : std_logic;
-- Mezzanine SPI
signal spi_din_t : std_logic_vector(3 downto 0);
signal spi_din_t : std_logic_vector(3 downto 0) := (others => '0');
signal spi_ss_t : std_logic_vector(7 downto 0);
-- Mezzanine I2C for Si570
......@@ -390,11 +390,7 @@ begin
p_fmc_spi : process (sys_clk_i)
begin
if rising_edge(sys_clk_i) then
if sys_rst_n_i = '0' then
spi_din_t <= (others => '0');
else
spi_din_t <= spi_din_t(spi_din_t'LEFT-1 downto 0) & spi_din_i;
end if;
spi_din_t <= spi_din_t(spi_din_t'LEFT-1 downto 0) & spi_din_i;
end if;
end process p_fmc_spi;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment