Commit 78bcf8d5 authored by egousiou's avatar egousiou

synthesis no dma-update

git-svn-id: http://svn.ohwr.org/fmc-tdc@150 85dfdc96-de2c-444c-878d-45b388be74a9
parent c20e2e0e
......@@ -4,7 +4,7 @@
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2014 1 23 11 6 0)
(timeStamp 2014 1 23 12 21 15)
(author "Synopsys, Inc.")
(program "Synplify Premier" (version "F-2012.03, mapper maprc, Build 943R"))
)
......@@ -10911,19 +10911,19 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_12 "cmp_tdc_mezz.cmp_tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR)))
(property INIT (string "64'hFF00FF00FF00CACA"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_8_RNISB9H6[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_8_RNIML6J6[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hEAFFFFFFFFFFFFFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_8_RNI639H6[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_8_RNI0D6J6[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hEAFFFFFFFFFFFFFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o26_0_a2_lut6_2_RNI5IV75") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o26_0_a2_lut6_2_RNIVRS95") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hEAFFFFFFFFFFFFFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_2_RNINS4T3[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_2_RNIH62V3[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hECA0FFFFFFFFFFFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_4_RNIL1NJ3[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_4_RNIFBKL3[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hF888FFFFFFFFFFFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -11208,7 +11208,7 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_2[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hFEFCFAF0EECCAA00"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_5_RNINPAK1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_5_RNIH38M1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0105030F115533FF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIDNRH1_10 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_2_RNIDNRH1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -11223,7 +11223,7 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIPTGM_0 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_2_RNIPTGM[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0103050F113355FF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_lut6_2_RNI2TOP1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_lut6_2_RNIS6MR1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0105115500000000"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_2[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -11244,7 +11244,7 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNIRPS31_8 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_0_RNIRPS31[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0013005F13135F5F"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0105115500000000"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_2[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -11385,13 +11385,13 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI742R1_13 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_1_RNI742R1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0111033305550FFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_3_RNIPI2B1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_3_RNIJSVC1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0103050F113355FF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI142R1_11 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_1_RNI142R1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0111033305550FFF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_3_RNIJA2B1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_3_RNIDKVC1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0103050F113355FF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -11409,7 +11409,7 @@
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'hFEFCFAF0EECCAA00"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_1_RNIOD5R[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0 "cmp_tdc_mezz.cmp_tdc_core.reg_control_block.acam_config_1_RNIIN2T[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
(property INIT (string "64'h0103050F113355FF"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR)))
......@@ -25788,11 +25788,11 @@
(property INIT (string "32'h00100000"))
(property HLUTNM (string "spec_top_fmc_tdc_lutnm000795"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un17_acam_ack_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX)))
(property INIT (string "16'h4000"))
(property HLUTNM (string "spec_top_fmc_tdc_lutnm000796"))
)
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un17_acam_ack_i_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR)))
(instance (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR)))
(property INIT (string "32'h10000000"))
(property HLUTNM (string "spec_top_fmc_tdc_lutnm000796"))
)
......@@ -57156,7 +57156,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_1_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_1(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_0))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_irq_tstamp_threshold_RNI0VB41_o5_14))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_1_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_1(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_1))
......@@ -57196,7 +57196,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_1_8 "cmp_tdc_mezz.cmp_tdc_core.acam_config_1(8)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_8))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_1_9 "cmp_tdc_mezz.cmp_tdc_core.acam_config_1(9)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_9))
......@@ -57352,7 +57352,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_2_8 "cmp_tdc_mezz.cmp_tdc_core.acam_config_2(8)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_8))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_1_8))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_2_9 "cmp_tdc_mezz.cmp_tdc_core.acam_config_2(9)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_9))
......@@ -57468,7 +57468,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_3_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_1))
......@@ -57518,12 +57518,12 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_10 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(10)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_10))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_32_RNO_3_10))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_3_11))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_12 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(12)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_12))
......@@ -57533,7 +57533,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_13 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(13)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_13))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_32_RNO_3_13))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_3_14 "cmp_tdc_mezz.cmp_tdc_core.acam_config_3(14)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_14))
......@@ -57624,7 +57624,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_4_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_4(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_0))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_irq_tstamp_threshold_RNID9BS_o6_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_4_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_4(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_1))
......@@ -57830,7 +57830,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_5_10 "cmp_tdc_mezz.cmp_tdc_core.acam_config_5(10)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_10))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_10))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_5_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_5(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_11))
......@@ -57936,7 +57936,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_6_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_6(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_6_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_1_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_6_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_6(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_6_1))
......@@ -57970,7 +57970,7 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_6_7 "cmp_tdc_mezz.cmp_tdc_core.acam_config_6(7)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_6_7))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_32_RNO_1_7))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_6_8 "cmp_tdc_mezz.cmp_tdc_core.acam_config_6(8)") (joined
......@@ -58092,7 +58092,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_7_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_7(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_7_0))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_7_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_7(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_7_1))
......@@ -58142,7 +58142,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_7_10 "cmp_tdc_mezz.cmp_tdc_core.acam_config_7(10)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_7_10))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_10))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_7_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_7(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_7_11))
......@@ -58248,7 +58248,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_3_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_1))
......@@ -58303,7 +58303,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_34_RNO_3_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_12 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(12)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_12))
......@@ -58313,7 +58313,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_13 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(13)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_13))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_32_RNO_3_13))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_8_14 "cmp_tdc_mezz.cmp_tdc_core.acam_config_8(14)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_14))
......@@ -58444,7 +58444,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_8 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(8)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_8))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_8))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_9 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(9)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_9))
......@@ -58459,7 +58459,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_25_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_12 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(12)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_12))
......@@ -58469,7 +58469,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_13 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(13)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_13))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_25_13))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_9_14 "cmp_tdc_mezz.cmp_tdc_core.acam_config_9(14)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_9_14))
......@@ -58560,7 +58560,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_0 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(0)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_0))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_1 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(1)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_1))
......@@ -58600,7 +58600,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_8 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(8)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_8))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_8))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_9 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(9)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_9))
......@@ -58610,12 +58610,12 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_10 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(10)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_10))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_11 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(11)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_11))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_25_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_12 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(12)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_12))
......@@ -58625,7 +58625,7 @@
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_13 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(13)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_13))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_25_13))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_10_14 "cmp_tdc_mezz.cmp_tdc_core.acam_config_10(14)") (joined
(portRef Q (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_10_14))
......@@ -73425,8 +73425,8 @@
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1_RNIL14K2_o6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_lut6_2_o5_6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_lut6_2_o6_6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNI8VKB3))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNI6M0D3))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_5))
......@@ -73528,8 +73528,8 @@
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_o6_6))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_o5))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_o6))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5))
(portRef I1 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNI8VKB3))
......@@ -76066,8 +76066,8 @@
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_o6_6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_o5))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_o6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNI8VKB3))
......@@ -76120,8 +76120,8 @@
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1_RNIL14K2_o6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_lut6_2_o5_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_lut6_2_o6_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_25))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_27))
......@@ -76151,9 +76151,9 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o21") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_0_a2))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19))
......@@ -76176,17 +76176,17 @@
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un17_acam_ack_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o6))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_0_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_0_22))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_14))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_15))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_20))
......@@ -76223,8 +76223,8 @@
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16))
......@@ -76236,7 +76236,7 @@
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIPTGM_0))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIDNRH1_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3286 "cmp_tdc_mezz.cmp_tdc_core.N_3286") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_config_adr_c_RNIF4G04_4))
......@@ -76468,7 +76468,7 @@
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.data_config_decoder.acam_dat_o18") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_0_a2))
......@@ -76522,9 +76522,9 @@
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNIRPS31_8))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_2379 "cmp_tdc_mezz.cmp_tdc_core.N_2379") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_m2_6))
......@@ -76560,15 +76560,15 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_639 "cmp_tdc_mezz.cmp_tdc_core.N_639") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3550 "cmp_tdc_mezz.cmp_tdc_core.N_3550") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_o5))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3547 "cmp_tdc_mezz.cmp_tdc_core.N_3547") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_o5_6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3537 "cmp_tdc_mezz.cmp_tdc_core.N_3537") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_33_RNO_10))
......@@ -76779,7 +76779,7 @@
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_engine_st_srsts_RNO_10))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_acam_config_6_m_7 "cmp_tdc_mezz.cmp_tdc_core.acam_config_6_m(7)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un17_acam_ack_i_lut6_2_o5))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_1489 "cmp_tdc_mezz.cmp_tdc_core.N_1489") (joined
......@@ -78572,11 +78572,11 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3400 "cmp_tdc_mezz.cmp_tdc_core.N_3400") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNILLN91_11))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3399 "cmp_tdc_mezz.cmp_tdc_core.N_3399") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNINLN91_13))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef I0 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_1553 "cmp_tdc_mezz.cmp_tdc_core.N_1553") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_dat_out_26_26))
......@@ -85789,23 +85789,23 @@
(portRef CI (instanceRef cmp_GN4124_cmp_p2l_dma_master_target_addr_cnt_cry_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_147_0_i "cmp_tdc_mezz.cmp_tdc_core.N_147_0_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
(portRef I (instanceRef data_bus_io_iobuf_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_67_0_i "cmp_tdc_mezz.cmp_tdc_core.N_67_0_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
(portRef I (instanceRef data_bus_io_iobuf_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3463_i "cmp_tdc_mezz.cmp_tdc_core.N_3463_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
(portRef I (instanceRef data_bus_io_iobuf_10))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_3441_i "cmp_tdc_mezz.cmp_tdc_core.N_3441_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
(portRef I (instanceRef data_bus_io_iobuf_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_N_59_0_i "cmp_tdc_mezz.cmp_tdc_core.N_59_0_i") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
(portRef I (instanceRef data_bus_io_iobuf_0))
))
(net (rename cmp_tdc_clks_rsts_mgment_rst_in_synch_i_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch_i(1)") (joined
......@@ -98567,27 +98567,27 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m146_0 "cmp_tdc_mezz.cmp_tdc_core.m146_0") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNICRMG1_13))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m146_1 "cmp_tdc_mezz.cmp_tdc_core.m146_1") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI742R1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m146_2 "cmp_tdc_mezz.cmp_tdc_core.m146_2") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIPI2B1_13))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNISB9H6_13))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJSVC1_13))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNIML6J6_13))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m168_s_0 "cmp_tdc_mezz.cmp_tdc_core.m168_s_0") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNI6RMG1_11))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m168_s_1 "cmp_tdc_mezz.cmp_tdc_core.m168_s_1") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI142R1_11))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m168_s_2 "cmp_tdc_mezz.cmp_tdc_core.m168_s_2") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIJA2B1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI639H6_11))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_3_RNIDKVC1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_8_RNI0D6J6_11))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_15 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0(15)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15))
......@@ -98650,22 +98650,22 @@
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_1_22))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_1_0Z0Z_6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_1_0(6)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_1_0_6))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_0_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI142R1_11))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI742R1_13))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2))
......@@ -98715,7 +98715,7 @@
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef I2 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_6 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_5_0(6)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_5_0_lut6_2_o6_6))
......@@ -98723,7 +98723,7 @@
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI142R1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNI742R1_13))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_6))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIDNRH1_10))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_1_22))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5))
......@@ -98744,7 +98744,7 @@
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIK8DA1_8))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_6))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIPTGM_0))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_iv_1_22))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNI6RMG1_11))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNICRMG1_13))
......@@ -98773,16 +98773,16 @@
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_23))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m150_s_0_0 "cmp_tdc_mezz.cmp_tdc_core.m150_s_0_0") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNINPAK1_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIH38M1_10))
(portRef I3 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m150_s_0_1 "cmp_tdc_mezz.cmp_tdc_core.m150_s_0_1") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIDNRH1_10))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m150_s_0_2 "cmp_tdc_mezz.cmp_tdc_core.m150_s_0_2") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNIUEHG1_10))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNI5IV75))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_0_a2_lut6_2_RNIVRS95))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_18 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0(18)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18))
......@@ -98798,15 +98798,15 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m58_s_0 "cmp_tdc_mezz.cmp_tdc_core.m58_s_0") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIPTGM_0))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m58_s_2 "cmp_tdc_mezz.cmp_tdc_core.m58_s_2") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIOD5R_0))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_1_RNIIN2T_0))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m58_s_1_1 "cmp_tdc_mezz.cmp_tdc_core.m58_s_1_1") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNI2TOP1))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIL1NJ3_0))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNIS6MR1))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_4_RNIFBKL3_0))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_14 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0(14)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14))
......@@ -98870,15 +98870,15 @@
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m82_0_0 "cmp_tdc_mezz.cmp_tdc_core.m82_0_0") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_0_RNIRPS31_8))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef I4 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m82_0_2 "cmp_tdc_mezz.cmp_tdc_core.m82_0_2") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_5_RNIK8DA1_8))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_m82_0_1_1 "cmp_tdc_mezz.cmp_tdc_core.m82_0_1_1") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNIJPN92_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNINS4T3_8))
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_0_0_lut6_2_RNID3LB2_6))
(portRef I5 (instanceRef cmp_tdc_mezz_cmp_tdc_core_reg_control_block_acam_config_2_RNIH62V3_8))
))
(net (rename cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_12 "cmp_tdc_mezz.cmp_tdc_core.data_engine_block.acam_dat_o_0_iv_0(12)") (joined
(portRef O (instanceRef cmp_tdc_mezz_cmp_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12))
......@@ -8,71 +8,82 @@
#Begin clock constraints
# 1003 : define_clock {p:acam_refclk_p_i} -name {acam_refclk31_25} -freq {31.25} -clockgroup {default_clkgroup30__3}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "acam_refclk_p_i" TNM_NET = "acam_refclk_p_i";
TIMESPEC "TS_acam_refclk_p_i" = PERIOD "acam_refclk_p_i" 32.000 ns HIGH 50.00%;
# 1233 : define_clock {n:cmp_GN4124.cmp_clk_in.buf_P_clk} -name {serdes_1_to_n_clk_pll_s2_diff_work_spec_top_fmc_tdc_rtl_6layer0|buf_P_clk_inferred_clock} -ref_rise {0.000000} -ref_fall {2.500000} -uncertainty {0.000000} -period {5.000000} -clockgroup {Inferred_clkgroup_0} -rise {0.000000} -fall {2.500000}
# 1246 : define_clock {n:cmp_GN4124.cmp_clk_in.buf_P_clk} -name {serdes_1_to_n_clk_pll_s2_diff_work_spec_top_fmc_tdc_rtl_6layer0|buf_P_clk_inferred_clock} -ref_rise {0.000000} -ref_fall {2.500000} -uncertainty {0.000000} -period {5.000000} -clockgroup {Inferred_clkgroup_0} -rise {0.000000} -fall {2.500000}
NET "cmp_GN4124.cmp_clk_in.buf_P_clk" TNM_NET = "cmp_GN4124_cmp_clk_in_buf_P_clk";
TIMESPEC "TS_cmp_GN4124_cmp_clk_in_buf_P_clk" = PERIOD "cmp_GN4124_cmp_clk_in_buf_P_clk" 5.000 ns HIGH 50.00%;
# 1002 : define_clock {p:spec_clk_i} -name {spec_clk20} -freq {20} -clockgroup {default_clkgroup29__2}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "spec_clk_i" TNM_NET = "spec_clk_i";
TIMESPEC "TS_spec_clk_i" = PERIOD "spec_clk_i" 50.000 ns HIGH 50.00%;
# 1001 : define_clock {p:tdc_clk_p_i} -name {tdc_clk125p} -freq {125} -clockgroup {default_clkgroup28__1}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_clk_p_i" TNM_NET = "tdc_clk_p_i";
TIMESPEC "TS_tdc_clk_p_i" = PERIOD "tdc_clk_p_i" 8.000 ns HIGH 50.00%;
#End clock constraints
# 1007 : define_false_path -to {p:tdc_led_status_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1018 : define_false_path -to {p:tdc_led_status_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_status_o" TNM = "to_1007_0";
TIMESPEC "TS_1007_0" = TO "to_1007_0" TIG;
NET "tdc_led_status_o" TNM = "to_1018_0";
TIMESPEC "TS_1018_0" = TO "to_1018_0" TIG;
# 1008 : define_false_path -to {p:tdc_led_trig1_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1019 : define_false_path -to {p:tdc_led_trig1_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig1_o" TNM = "to_1008_0";
TIMESPEC "TS_1008_0" = TO "to_1008_0" TIG;
NET "tdc_led_trig1_o" TNM = "to_1019_0";
TIMESPEC "TS_1019_0" = TO "to_1019_0" TIG;
# 1009 : define_false_path -to {p:tdc_led_trig2_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1020 : define_false_path -to {p:tdc_led_trig2_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig2_o" TNM = "to_1009_0";
TIMESPEC "TS_1009_0" = TO "to_1009_0" TIG;
NET "tdc_led_trig2_o" TNM = "to_1020_0";
TIMESPEC "TS_1020_0" = TO "to_1020_0" TIG;
# 1010 : define_false_path -to {p:tdc_led_trig3_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1021 : define_false_path -to {p:tdc_led_trig3_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig3_o" TNM = "to_1010_0";
TIMESPEC "TS_1010_0" = TO "to_1010_0" TIG;
NET "tdc_led_trig3_o" TNM = "to_1021_0";
TIMESPEC "TS_1021_0" = TO "to_1021_0" TIG;
# 1011 : define_false_path -to {p:tdc_led_trig4_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1022 : define_false_path -to {p:tdc_led_trig4_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig4_o" TNM = "to_1011_0";
TIMESPEC "TS_1011_0" = TO "to_1011_0" TIG;
NET "tdc_led_trig4_o" TNM = "to_1022_0";
TIMESPEC "TS_1022_0" = TO "to_1022_0" TIG;
# 1012 : define_false_path -to {p:tdc_led_trig5_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1023 : define_false_path -to {p:tdc_led_trig5_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig5_o" TNM = "to_1012_0";
TIMESPEC "TS_1012_0" = TO "to_1012_0" TIG;
NET "tdc_led_trig5_o" TNM = "to_1023_0";
TIMESPEC "TS_1023_0" = TO "to_1023_0" TIG;
# 1013 : define_false_path -from {p:rst_n_a_i}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1024 : define_false_path -from {p:rst_n_a_i}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "rst_n_a_i" TNM = "from_1013_0";
TIMESPEC "TS_1013_0" = FROM "from_1013_0" TIG;
NET "rst_n_a_i" TNM = "from_1024_0";
TIMESPEC "TS_1024_0" = FROM "from_1024_0" TIG;
# Unused constraints (intentionally commented out)
# define_multicycle_path -from { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:address_o[3:0] } { 3 }
# define_false_path -from { p:spec_aux0_i }
# define_false_path -from { p:spec_aux1_i }
# define_false_path -to { p:spec_aux2_o }
# define_false_path -to { p:spec_aux3_o }
# define_false_path -to { p:spec_aux4_o }
# define_false_path -to { p:spec_aux5_o }
# define_false_path -to { p:spec_led_green_o }
# define_false_path -to { p:spec_led_red_o }
# define_false_path -from { i:gnum_interface_block.rst_reg }
# Location Constraints
......
No preview for this file type
No preview for this file type
cd <to the synthesis directory: hdl/syn/spec>
ngdbuild -uc synplicity.ucf syn_tdc.edf
map -detail -xe n -w -timing -ol high syn_tdc.ngd
par -w -xe n -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf
map -detail -w -timing -ol high syn_tdc.ngd
par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf
trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report
#bitgen -w par_tdc.ncd tdc
bitgen -w -g Binary:Yes par_tdc.ncd tdc
ngdbuild -uc synplicity.ucf syn_tdc.edf;map -detail -xe n -w -timing -ol high -pr b syn_tdc.ngd;par -w -ol high -xe n -mt off syn_tdc.ncd par_tdc.ncd syn_tdc.pcf;trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report;bitgen -w -g Binary:Yes par_tdc.ncd tdc
\ No newline at end of file
ngdbuild -uc synplicity.ucf syn_tdc.edf;map -detail -w -timing -ol high syn_tdc.ngd;par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf;trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report;bitgen -w -g Binary:Yes par_tdc.ncd tdc
......@@ -90,6 +90,8 @@ add_file -vhdl -lib work "../../rtl/irq_generator.vhd"
add_file -vhdl -lib work "../../rtl/reg_ctrl.vhd"
add_file -vhdl -lib work "../../rtl/leds_manager.vhd"
add_file -vhdl -lib work "../../top/spec/dma_eic.vhd"
add_file -vhdl -lib work "../../top/spec/spec_top_fmc_tdc.vhd"
add_file -constraint -lib work "./tdc_syn_constraints.sdc"
......@@ -123,6 +125,7 @@ set_option -no_sequential_opt 0
set_option -use_fsm_explorer 0
set_option -top_module "spec_top_fmc_tdc"
# mapper_options
set_option -frequency 200
set_option -default_enum_encoding onehot
......
......@@ -32,6 +32,17 @@ define_output_delay -disable -default 2.00 -improve 0.00 -route 0.00 -ref {
#
# Delay Paths
#
define_multicycle_path -from {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:address_o[3:0]}} 3
define_false_path -from {{p:spec_aux0_i}}
define_false_path -from {{p:spec_aux1_i}}
define_false_path -to {{p:spec_aux2_o}}
define_false_path -to {{p:spec_aux3_o}}
define_false_path -to {{p:spec_aux4_o}}
define_false_path -to {{p:spec_aux5_o}}
define_false_path -to {{p:spec_led_green_o}}
define_false_path -to {{p:spec_led_red_o}}
define_false_path -to {{p:tdc_led_status_o}}
define_false_path -to {{p:tdc_led_trig1_o}}
define_false_path -to {{p:tdc_led_trig2_o}}
......@@ -68,6 +79,7 @@ define_attribute {p:pll_sclk_o} {syn_loc} {AA16}
define_attribute {p:pll_dac_sync_o} {syn_loc} {AB16}
define_attribute {p:pll_cs_o} {syn_loc} {Y17}
define_attribute {p:cs_n_o} {syn_loc} {AB17}
define_attribute {p:prsnt_m2c_n_i} {syn_loc} {AB14}
define_attribute {p:err_flag_i} {syn_loc} {V11}
define_attribute {p:int_flag_i} {syn_loc} {W11}
define_attribute {p:start_dis_o} {syn_loc} {T15}
......@@ -212,6 +224,7 @@ define_io_standard {pll_sclk_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_dac_sync_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_cs_o} syn_pad_type {LVCMOS_25}
define_io_standard {cs_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {prsnt_m2c_n_i} syn_pad_type {LVCMOS_25}
define_io_standard {rst_n_a_i} syn_pad_type {LVCMOS18}
define_io_standard {p2l_clk_p_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {p2l_clk_n_i} syn_pad_type {DIFF_SSTL_18_Class_II}
......
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment