Commit 78bcf8d5 authored by egousiou's avatar egousiou

synthesis no dma-update

git-svn-id: http://svn.ohwr.org/fmc-tdc@150 85dfdc96-de2c-444c-878d-45b388be74a9
parent c20e2e0e
This diff is collapsed.
......@@ -8,71 +8,82 @@
#Begin clock constraints
# 1003 : define_clock {p:acam_refclk_p_i} -name {acam_refclk31_25} -freq {31.25} -clockgroup {default_clkgroup30__3}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "acam_refclk_p_i" TNM_NET = "acam_refclk_p_i";
TIMESPEC "TS_acam_refclk_p_i" = PERIOD "acam_refclk_p_i" 32.000 ns HIGH 50.00%;
# 1233 : define_clock {n:cmp_GN4124.cmp_clk_in.buf_P_clk} -name {serdes_1_to_n_clk_pll_s2_diff_work_spec_top_fmc_tdc_rtl_6layer0|buf_P_clk_inferred_clock} -ref_rise {0.000000} -ref_fall {2.500000} -uncertainty {0.000000} -period {5.000000} -clockgroup {Inferred_clkgroup_0} -rise {0.000000} -fall {2.500000}
# 1246 : define_clock {n:cmp_GN4124.cmp_clk_in.buf_P_clk} -name {serdes_1_to_n_clk_pll_s2_diff_work_spec_top_fmc_tdc_rtl_6layer0|buf_P_clk_inferred_clock} -ref_rise {0.000000} -ref_fall {2.500000} -uncertainty {0.000000} -period {5.000000} -clockgroup {Inferred_clkgroup_0} -rise {0.000000} -fall {2.500000}
NET "cmp_GN4124.cmp_clk_in.buf_P_clk" TNM_NET = "cmp_GN4124_cmp_clk_in_buf_P_clk";
TIMESPEC "TS_cmp_GN4124_cmp_clk_in_buf_P_clk" = PERIOD "cmp_GN4124_cmp_clk_in_buf_P_clk" 5.000 ns HIGH 50.00%;
# 1002 : define_clock {p:spec_clk_i} -name {spec_clk20} -freq {20} -clockgroup {default_clkgroup29__2}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "spec_clk_i" TNM_NET = "spec_clk_i";
TIMESPEC "TS_spec_clk_i" = PERIOD "spec_clk_i" 50.000 ns HIGH 50.00%;
# 1001 : define_clock {p:tdc_clk_p_i} -name {tdc_clk125p} -freq {125} -clockgroup {default_clkgroup28__1}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_clk_p_i" TNM_NET = "tdc_clk_p_i";
TIMESPEC "TS_tdc_clk_p_i" = PERIOD "tdc_clk_p_i" 8.000 ns HIGH 50.00%;
#End clock constraints
# 1007 : define_false_path -to {p:tdc_led_status_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1018 : define_false_path -to {p:tdc_led_status_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_status_o" TNM = "to_1007_0";
TIMESPEC "TS_1007_0" = TO "to_1007_0" TIG;
NET "tdc_led_status_o" TNM = "to_1018_0";
TIMESPEC "TS_1018_0" = TO "to_1018_0" TIG;
# 1008 : define_false_path -to {p:tdc_led_trig1_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1019 : define_false_path -to {p:tdc_led_trig1_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig1_o" TNM = "to_1008_0";
TIMESPEC "TS_1008_0" = TO "to_1008_0" TIG;
NET "tdc_led_trig1_o" TNM = "to_1019_0";
TIMESPEC "TS_1019_0" = TO "to_1019_0" TIG;
# 1009 : define_false_path -to {p:tdc_led_trig2_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1020 : define_false_path -to {p:tdc_led_trig2_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig2_o" TNM = "to_1009_0";
TIMESPEC "TS_1009_0" = TO "to_1009_0" TIG;
NET "tdc_led_trig2_o" TNM = "to_1020_0";
TIMESPEC "TS_1020_0" = TO "to_1020_0" TIG;
# 1010 : define_false_path -to {p:tdc_led_trig3_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1021 : define_false_path -to {p:tdc_led_trig3_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig3_o" TNM = "to_1010_0";
TIMESPEC "TS_1010_0" = TO "to_1010_0" TIG;
NET "tdc_led_trig3_o" TNM = "to_1021_0";
TIMESPEC "TS_1021_0" = TO "to_1021_0" TIG;
# 1011 : define_false_path -to {p:tdc_led_trig4_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1022 : define_false_path -to {p:tdc_led_trig4_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig4_o" TNM = "to_1011_0";
TIMESPEC "TS_1011_0" = TO "to_1011_0" TIG;
NET "tdc_led_trig4_o" TNM = "to_1022_0";
TIMESPEC "TS_1022_0" = TO "to_1022_0" TIG;
# 1012 : define_false_path -to {p:tdc_led_trig5_o}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1023 : define_false_path -to {p:tdc_led_trig5_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig5_o" TNM = "to_1012_0";
TIMESPEC "TS_1012_0" = TO "to_1012_0" TIG;
NET "tdc_led_trig5_o" TNM = "to_1023_0";
TIMESPEC "TS_1023_0" = TO "to_1023_0" TIG;
# 1013 : define_false_path -from {p:rst_n_a_i}
# c:\ohwr-fmc-tdc\hdl\syn\spec\tdc_syn_constraints.sdc
# 1024 : define_false_path -from {p:rst_n_a_i}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "rst_n_a_i" TNM = "from_1013_0";
TIMESPEC "TS_1013_0" = FROM "from_1013_0" TIG;
NET "rst_n_a_i" TNM = "from_1024_0";
TIMESPEC "TS_1024_0" = FROM "from_1024_0" TIG;
# Unused constraints (intentionally commented out)
# define_multicycle_path -from { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:address_o[3:0] } { 3 }
# define_false_path -from { p:spec_aux0_i }
# define_false_path -from { p:spec_aux1_i }
# define_false_path -to { p:spec_aux2_o }
# define_false_path -to { p:spec_aux3_o }
# define_false_path -to { p:spec_aux4_o }
# define_false_path -to { p:spec_aux5_o }
# define_false_path -to { p:spec_led_green_o }
# define_false_path -to { p:spec_led_red_o }
# define_false_path -from { i:gnum_interface_block.rst_reg }
# Location Constraints
......
No preview for this file type
No preview for this file type
cd <to the synthesis directory: hdl/syn/spec>
ngdbuild -uc synplicity.ucf syn_tdc.edf
map -detail -xe n -w -timing -ol high syn_tdc.ngd
par -w -xe n -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf
map -detail -w -timing -ol high syn_tdc.ngd
par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf
trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report
#bitgen -w par_tdc.ncd tdc
bitgen -w -g Binary:Yes par_tdc.ncd tdc
ngdbuild -uc synplicity.ucf syn_tdc.edf;map -detail -xe n -w -timing -ol high -pr b syn_tdc.ngd;par -w -ol high -xe n -mt off syn_tdc.ncd par_tdc.ncd syn_tdc.pcf;trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report;bitgen -w -g Binary:Yes par_tdc.ncd tdc
\ No newline at end of file
ngdbuild -uc synplicity.ucf syn_tdc.edf;map -detail -w -timing -ol high syn_tdc.ngd;par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf;trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report;bitgen -w -g Binary:Yes par_tdc.ncd tdc
......@@ -90,6 +90,8 @@ add_file -vhdl -lib work "../../rtl/irq_generator.vhd"
add_file -vhdl -lib work "../../rtl/reg_ctrl.vhd"
add_file -vhdl -lib work "../../rtl/leds_manager.vhd"
add_file -vhdl -lib work "../../top/spec/dma_eic.vhd"
add_file -vhdl -lib work "../../top/spec/spec_top_fmc_tdc.vhd"
add_file -constraint -lib work "./tdc_syn_constraints.sdc"
......@@ -123,6 +125,7 @@ set_option -no_sequential_opt 0
set_option -use_fsm_explorer 0
set_option -top_module "spec_top_fmc_tdc"
# mapper_options
set_option -frequency 200
set_option -default_enum_encoding onehot
......
......@@ -32,6 +32,17 @@ define_output_delay -disable -default 2.00 -improve 0.00 -route 0.00 -ref {
#
# Delay Paths
#
define_multicycle_path -from {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:address_o[3:0]}} 3
define_false_path -from {{p:spec_aux0_i}}
define_false_path -from {{p:spec_aux1_i}}
define_false_path -to {{p:spec_aux2_o}}
define_false_path -to {{p:spec_aux3_o}}
define_false_path -to {{p:spec_aux4_o}}
define_false_path -to {{p:spec_aux5_o}}
define_false_path -to {{p:spec_led_green_o}}
define_false_path -to {{p:spec_led_red_o}}
define_false_path -to {{p:tdc_led_status_o}}
define_false_path -to {{p:tdc_led_trig1_o}}
define_false_path -to {{p:tdc_led_trig2_o}}
......@@ -68,6 +79,7 @@ define_attribute {p:pll_sclk_o} {syn_loc} {AA16}
define_attribute {p:pll_dac_sync_o} {syn_loc} {AB16}
define_attribute {p:pll_cs_o} {syn_loc} {Y17}
define_attribute {p:cs_n_o} {syn_loc} {AB17}
define_attribute {p:prsnt_m2c_n_i} {syn_loc} {AB14}
define_attribute {p:err_flag_i} {syn_loc} {V11}
define_attribute {p:int_flag_i} {syn_loc} {W11}
define_attribute {p:start_dis_o} {syn_loc} {T15}
......@@ -212,6 +224,7 @@ define_io_standard {pll_sclk_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_dac_sync_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_cs_o} syn_pad_type {LVCMOS_25}
define_io_standard {cs_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {prsnt_m2c_n_i} syn_pad_type {LVCMOS_25}
define_io_standard {rst_n_a_i} syn_pad_type {LVCMOS18}
define_io_standard {p2l_clk_p_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {p2l_clk_n_i} syn_pad_type {DIFF_SSTL_18_Class_II}
......
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment