Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
P
Platform-independent core collection
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
18
Issues
18
List
Board
Labels
Milestones
Merge Requests
5
Merge Requests
5
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
Platform-independent core collection
Commits
2d6a1c5d
Commit
2d6a1c5d
authored
Apr 16, 2024
by
Julien Egli
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
add -min argument to get_property PERIOD to return the minimum value of the returned list
parent
490af642
Hide whitespace changes
Inline
Side-by-side
Showing
4 changed files
with
6 additions
and
6 deletions
+6
-6
gc_sync.xdc
modules/common/xdc/gc_sync.xdc
+1
-1
gc_sync_register.xdc
modules/common/xdc/gc_sync_register.xdc
+1
-1
gc_sync_word_rd.xdc
modules/common/xdc/gc_sync_word_rd.xdc
+2
-2
gc_sync_word_wr.xdc
modules/common/xdc/gc_sync_word_wr.xdc
+2
-2
No files found.
modules/common/xdc/gc_sync.xdc
View file @
2d6a1c5d
...
...
@@ -7,7 +7,7 @@
# with set_false_path because it has the highest priority.
set clk [get_clocks -of_objects [get_ports clk_i]]
set clk_period [get_property PERIOD $clk]
set clk_period [get_property
-min
PERIOD $clk]
# ATTENTION: we can't use "all_fanin" to find the source register because
# apparently this command doesn't traverse outside of scoped reference (even with -flat switch)
...
...
modules/common/xdc/gc_sync_register.xdc
View file @
2d6a1c5d
...
...
@@ -7,7 +7,7 @@
# with set_false_path because it has the highest priority.
set clk [get_clocks -of_objects [get_ports clk_i]]
set clk_period [get_property PERIOD $clk]
set clk_period [get_property
-min
PERIOD $clk]
# ATTENTION: we can't use "all_fanin" to find the source register because
# apparently this command doesn't traverse outside of scoped reference (even with -flat switch)
...
...
modules/common/xdc/gc_sync_word_rd.xdc
View file @
2d6a1c5d
...
...
@@ -4,8 +4,8 @@
set src_clk [get_clocks -of_objects [get_ports clk_in_i]]
set dst_clk [get_clocks -of_objects [get_ports clk_out_i]]
set src_clk_period [get_property PERIOD $src_clk]
set dst_clk_period [get_property PERIOD $dst_clk]
set src_clk_period [get_property
-min
PERIOD $src_clk]
set dst_clk_period [get_property
-min
PERIOD $dst_clk]
set skew_value [expr {(($src_clk_period < $dst_clk_period) ? $src_clk_period : $dst_clk_period)}]
set src_ff [get_pins gc_sync_word_data*[*]/C]
...
...
modules/common/xdc/gc_sync_word_wr.xdc
View file @
2d6a1c5d
...
...
@@ -4,8 +4,8 @@
set src_clk [get_clocks -of_objects [get_ports clk_in_i]]
set dst_clk [get_clocks -of_objects [get_ports clk_out_i]]
set src_clk_period [get_property PERIOD $src_clk]
set dst_clk_period [get_property PERIOD $dst_clk]
set src_clk_period [get_property
-min
PERIOD $src_clk]
set dst_clk_period [get_property
-min
PERIOD $dst_clk]
set skew_value [expr {(($src_clk_period < $dst_clk_period) ? $src_clk_period : $dst_clk_period)}]
set src_ff [get_pins gc_sync_word_data*[*]/C]
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment