Update documentation to reflect support for NVC

Also include a simulation example in tests/counter/sim/nvc.
parent 460f8e1e
...@@ -131,6 +131,8 @@ Supported Tools ...@@ -131,6 +131,8 @@ Supported Tools
+--------------------------+-----------+------------+ +--------------------------+-----------+------------+
| GHDL | n.a. | VHDL | | GHDL | n.a. | VHDL |
+--------------------------+-----------+------------+ +--------------------------+-----------+------------+
| NVC | n.a. | VHDL |
+--------------------------+-----------+------------+
Supported Operating Systems Supported Operating Systems
--------------------------- ---------------------------
...@@ -1533,6 +1535,17 @@ GHDL specific variables: ...@@ -1533,6 +1535,17 @@ GHDL specific variables:
| ghdl_opt | str | Additional options for ghdl | "" | | ghdl_opt | str | Additional options for ghdl | "" |
+----------------+--------------+-----------------------------------------------------------------+-----------+ +----------------+--------------+-----------------------------------------------------------------+-----------+
NVC specific variables:
+------------------+--------------+-----------------------------------------------------------------+-----------+
| Name | Type | Description | Default |
+==================+==============+=================================================================+===========+
| nvc_opt | str | Additional global options for nvc | "" |
+------------------+--------------+-----------------------------------------------------------------+-----------+
| nvc_analysis_opt | str | Additional analysis options for nvc | "" |
+------------------+--------------+-----------------------------------------------------------------+-----------+
| nvc_elab_opt | str | Additional elaboration options for nvc | "" |
+------------------+--------------+-----------------------------------------------------------------+-----------+
Synthesis variables Synthesis variables
......
action = "simulation"
sim_tool = "nvc"
sim_top = "counter_tb"
sim_post_cmd = "nvc -r counter_tb --stop-time=6us --format=vcd --wave=counter_tb.vcd"
modules = {
"local" : [ "../../../testbench/counter_tb/vhdl" ],
}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment