Commit 141d421f authored by Cesar Prados's avatar Cesar Prados

final changes for the WB master interface for working with Etherbone

parent 6b9861ee
This diff is collapsed.
......@@ -1208,12 +1208,13 @@ with s_addressingType select
rty_i => rty_i,
err_i => err_i,
cyc_o => cyc_o,
memReq_o => memReq_o,
stb_o => memReq_o,
WBdata_o => wbData_o,
wbData_i => wbData_i,
locAddr_o => locAddr_o,
memAckWB_i => memAckWB_i,
WbSel_o => wbSel_o,
funct_sel => s_func_sel,
RW_o => RW_o
);
......
......@@ -764,10 +764,11 @@ function f_latchDS (clk_period : integer) return integer;
err_o : out std_logic;
rty_o : out std_logic;
cyc_o : out std_logic;
memReq_o : out std_logic;
stb_o : out std_logic;
WBdata_o : out std_logic_vector(g_wb_data_width - 1 downto 0);
locAddr_o : out std_logic_vector(g_wb_addr_width - 1 downto 0);
WbSel_o : out std_logic_vector(f_div8(g_wb_data_width) - 1 downto 0);
funct_sel : in std_logic_vector (7 downto 0);
RW_o : out std_logic
);
end component VME_Wb_master;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment