clean the superfluous Xilinx cores in Yarr coregen module

parent dfe497c6
files = ["clk_gen.vhd",
"ila.ngc",
"ila_icon.ngc",
"fifo_64x512.ngc",
"fifo_32x512.ngc",
"l2p_fifo.ngc",
"rx_bridge_fifo.ngc",
"rx_channel_fifo.ngc",
"rx_bridge_ctrl_fifo.ngc",
"ila.vhd",
"ila_icon.vhd",
"fifo_64x512.vhd",
"fifo_32x512.vhd",
"l2p_fifo.vhd",
"rx_bridge_fifo.vhd",
"rx_channel_fifo.vhd",
"rx_bridge_ctrl_fifo.vhd"]
......
SET busformat = BusFormatAngleBracketNotRipped
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET package = fgg484
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
##############################################################
#
# Xilinx Core Generator version 13.3
# Date: Wed Jul 11 09:32:59 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:mig:3.9
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT MIG_Virtex-6_and_Spartan-6 family Xilinx,_Inc. 3.9
# END Select
# BEGIN Parameters
CSET component_name=ddr3_ctrl_spec_bank3_32b_32b
CSET xml_input_file=./ddr3_ctrl_spec_bank3_32b_32b/user_design/mig.prj
# END Parameters
GENERATE
# CRC: 8651ae82
##############################################################
#
# Xilinx Core Generator version 13.3
# Date: Wed Jul 11 09:34:06 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:mig:3.9
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT MIG_Virtex-6_and_Spartan-6 family Xilinx,_Inc. 3.9
# END Select
# BEGIN Parameters
CSET component_name=ddr3_ctrl_spec_bank3_64b_32b
CSET xml_input_file=./ddr3_ctrl_spec_bank3_64b_32b/user_design/mig.prj
# END Parameters
GENERATE
# CRC: 38a6663a
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fifo_generator:6.2
-- You must compile the wrapper file fifo_32x512.vhd when simulating
-- the core, fifo_32x512. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_32x512 IS
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
END fifo_32x512;
ARCHITECTURE fifo_32x512_a OF fifo_32x512 IS
-- synthesis translate_off
component wrapped_fifo_32x512
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_32x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral)
generic map(
c_has_int_clk => 0,
c_wr_response_latency => 1,
c_rd_freq => 1,
c_has_srst => 0,
c_enable_rst_sync => 1,
c_has_rd_data_count => 0,
c_din_width => 32,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
c_implementation_type => 2,
c_family => "spartan6",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 32,
c_msgon_val => 1,
c_rd_depth => 1024,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_error_injection_type => 0,
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 10,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 10,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_rd_data_count_width => 10,
c_enable_rlocs => 0,
c_wr_pntr_width => 10,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 10,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 1020,
c_wr_depth => 1024,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 1,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 1021,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "1kx36",
c_count_type => 0,
c_prog_full_type => 4,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_32x512
port map (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full);
-- synthesis translate_on
END fifo_32x512_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jun 16 08:46:40 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 6.2
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_32x512
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET enable_ecc=false
CSET enable_int_clk=false
CSET enable_reset_synchronization=true
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1021
CSET full_threshold_negate_value=1020
CSET inject_dbit_error=false
CSET inject_sbit_error=false
CSET input_data_width=32
CSET input_depth=1024
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T05:23:34Z
# END Extra information
GENERATE
# CRC: d005af87
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fifo_generator:6.2
-- You must compile the wrapper file fifo_64x512.vhd when simulating
-- the core, fifo_64x512. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_64x512 IS
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(63 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(8 downto 0);
prog_full_thresh_negate: in std_logic_vector(8 downto 0);
dout: out std_logic_vector(63 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
END fifo_64x512;
ARCHITECTURE fifo_64x512_a OF fifo_64x512 IS
-- synthesis translate_off
component wrapped_fifo_64x512
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(63 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(8 downto 0);
prog_full_thresh_negate: in std_logic_vector(8 downto 0);
dout: out std_logic_vector(63 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_64x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral)
generic map(
c_has_int_clk => 0,
c_wr_response_latency => 1,
c_rd_freq => 1,
c_has_srst => 0,
c_enable_rst_sync => 1,
c_has_rd_data_count => 0,
c_din_width => 64,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
c_implementation_type => 2,
c_family => "spartan6",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 64,
c_msgon_val => 1,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_error_injection_type => 0,
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 508,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 1,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 509,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "512x72",
c_count_type => 0,
c_prog_full_type => 4,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_64x512
port map (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full);
-- synthesis translate_on
END fifo_64x512_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jun 16 08:47:45 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 6.2
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_64x512
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET enable_ecc=false
CSET enable_int_clk=false
CSET enable_reset_synchronization=true
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=509
CSET full_threshold_negate_value=508
CSET inject_dbit_error=false
CSET inject_sbit_error=false
CSET input_data_width=64
CSET input_depth=512
CSET output_data_width=64
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T05:23:34Z
# END Extra information
GENERATE
# CRC: b6838683
This source diff could not be displayed because it is too large. You can view the blob instead.
-------------------------------------------------------------------------------
-- Copyright (c) 2014 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : ila.vhd
-- /___/ /\ Timestamp : Mon Sep 01 16:46:41 CEST 2014
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ila IS
port (
CONTROL: inout std_logic_vector(35 downto 0);
CLK: in std_logic;
TRIG0: in std_logic_vector(31 downto 0);
TRIG1: in std_logic_vector(31 downto 0);
TRIG2: in std_logic_vector(31 downto 0));
END ila;
ARCHITECTURE ila_a OF ila IS
BEGIN
END ila_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Sep 1 14:45:12 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
# END Select
# BEGIN Parameters
CSET check_bramcount=false
CSET component_name=ila
CSET constraint_type=external
CSET counter_width_1=Disabled
CSET counter_width_10=Disabled
CSET counter_width_11=Disabled
CSET counter_width_12=Disabled
CSET counter_width_13=Disabled
CSET counter_width_14=Disabled
CSET counter_width_15=Disabled
CSET counter_width_16=Disabled
CSET counter_width_2=Disabled
CSET counter_width_3=Disabled
CSET counter_width_4=Disabled
CSET counter_width_5=Disabled
CSET counter_width_6=Disabled
CSET counter_width_7=Disabled
CSET counter_width_8=Disabled
CSET counter_width_9=Disabled
CSET data_port_width=0
CSET data_same_as_trigger=true
CSET disable_save_keep=false
CSET enable_storage_qualification=true
CSET enable_trigger_output_port=false
CSET example_design=false
CSET exclude_from_data_storage_1=false
CSET exclude_from_data_storage_10=false
CSET exclude_from_data_storage_11=false
CSET exclude_from_data_storage_12=false
CSET exclude_from_data_storage_13=false
CSET exclude_from_data_storage_14=false
CSET exclude_from_data_storage_15=false
CSET exclude_from_data_storage_16=false
CSET exclude_from_data_storage_2=false
CSET exclude_from_data_storage_3=false
CSET exclude_from_data_storage_4=false
CSET exclude_from_data_storage_5=false
CSET exclude_from_data_storage_6=false
CSET exclude_from_data_storage_7=false
CSET exclude_from_data_storage_8=false
CSET exclude_from_data_storage_9=false
CSET match_type_1=basic_with_edges
CSET match_type_10=basic_with_edges
CSET match_type_11=basic_with_edges
CSET match_type_12=basic_with_edges
CSET match_type_13=basic_with_edges
CSET match_type_14=basic_with_edges
CSET match_type_15=basic_with_edges
CSET match_type_16=basic_with_edges
CSET match_type_2=basic_with_edges
CSET match_type_3=basic_with_edges
CSET match_type_4=basic_with_edges
CSET match_type_5=basic_with_edges
CSET match_type_6=basic_with_edges
CSET match_type_7=basic_with_edges
CSET match_type_8=basic_with_edges
CSET match_type_9=basic_with_edges
CSET match_units_1=1
CSET match_units_10=1
CSET match_units_11=1
CSET match_units_12=1
CSET match_units_13=1
CSET match_units_14=1
CSET match_units_15=1
CSET match_units_16=1
CSET match_units_2=1
CSET match_units_3=1
CSET match_units_4=1
CSET match_units_5=1
CSET match_units_6=1
CSET match_units_7=1
CSET match_units_8=1
CSET match_units_9=1
CSET max_sequence_levels=1
CSET number_of_trigger_ports=3
CSET sample_data_depth=8192
CSET sample_on=Rising
CSET trigger_port_width_1=32
CSET trigger_port_width_10=8
CSET trigger_port_width_11=8
CSET trigger_port_width_12=8
CSET trigger_port_width_13=8
CSET trigger_port_width_14=8
CSET trigger_port_width_15=8
CSET trigger_port_width_16=8
CSET trigger_port_width_2=32
CSET trigger_port_width_3=32
CSET trigger_port_width_4=8
CSET trigger_port_width_5=8
CSET trigger_port_width_6=8
CSET trigger_port_width_7=8
CSET trigger_port_width_8=8
CSET trigger_port_width_9=8
CSET use_rpms=false
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T02:47:40Z
# END Extra information
GENERATE
# CRC: 684e9a54
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$0`;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0127?406881986<?016864679>18:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNi7NAATSMO4969k2IDBY\@L1>24;e<KFD_^BB?<03=g>EHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;e<KFD_^BB?<0;=f>EHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;e<KFD_^BB?<20=g>EHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2<M=::?6HKC59E@FC43ONN?6HKR59E@WC63N90KCJ>;H08M54<A880E?<4I208M14<A<30ECG[SUCWA6=NF_k0FYOH_BJBMK?<B]KLSYK\T39OM7=KG?1GCNEJD69OKBODIE>0@XZ>4:NVP72<D\^?86BZT758IP^DQVF>7@[WF478IP^A>;1E<:5AEUULVN0<FFIGE]94NNOFVBC63F;<7B^[ILKYAZVUADC_E[K\_OE@5>V03Y$?5iQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD<0\^J@ND38U4=U=2XN_HZ8;SWMP@US92Y87^KC5:QKMCR692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO=7^AZRBG5?VRF\\Y87YMD3:VGM6=SLF90X@];;U[SA6b<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[V0)AZSE"DQZSD]PKCRE[JKESH_?.T]AW32<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[PUBWZEMXO]LAO]FU5(NW\YNSU][_UAH[RIUCHXDYCJPEP3-QZODGGUI_NOA_CWEC*SXJ\LL>;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<<R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:?P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8>V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2<>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU<]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV=R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S<W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP9P Z_YMQG\473\:$YRGLOO-V[VRF\&_T_YO[_BLW+V:76;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([5;5>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@<m4U1-V[LEHF&_T_YO[/hFBPDYQIECO=45Z0.W\MFII'\UXXLZ iRVBP969901^<"[PIBMM+PYT\H^$e^ZNT=3=5<=R8&_TENAA/T]PPDR(aZ^JX1<1189V4*SXAJEE#XQ\T@V,mVRF\595=n5Z0.W\MFII'\UXXLZ iRVBPFKCWJO:i6[?/T]JGJH(]VY_MY!fSUCWGHBXKLUe=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5:5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5;5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\585=i5Z0.W\MFII'\UXXLZ iRVBPZEI\595=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5>5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV=R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLD<k4U1-V[LEHF&_T_T@L/hELPZU^FJUGCZ?j;T2,QZODGG%^S^WAC.kP]KEX_FXO0=0>e:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=<?<;T2,QZODGG%^SYJ@_sgd50=R8&_TENAA/T]j@DRFWJGO=:5Z0.W\MFII'\UbHLZN_BOG[k753\:$YRGLOO-V[lUBDVd:56[?/T]JGJH(aJEFAL@K_FPS858612_;#XQFCNL,mFIJEHDOSJ\_<0<2=>S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}<b:]\[]JIEVUT<RQPU1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX51[)]VF^X>m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6d<WVUS@CCP_^35[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ8Q#[PLBG0f>YXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NK<b:]\[]JIEVUT=4QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_3[)]VFHI>l4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7b<WVUS@CCP_^00[ZYR8&_TENAA/T]@PWJXG\^$YRMBDFPS466<WVUS@CCP_^07[ZYR8&_TENAA/T]@PWJXG\^$YRJNT@]UEIOC:h1TSRVCNL]\[73XWV_;#XQFCNL,QZU^FJ%^SJA[_R[MG6d<WVUS@CCP_^0\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;;Q#[PLTV0e>YXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP<P Z_MAF7g=XWVRGB@QP_9]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS8:V"XQCCD1a?ZYXPEDFSRQ6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg997nffpralt`YnkfdTz<Q?6^c/$]okagr+OB\J Fgmawgsg{*:8#:8;bmvjqcu>2ixS`{w8:ap[hs9?k0enaa_cq`ek`<ajeeSo}lao]aqcaa3`idbRmbd^ffgjbb12chccQlosgg?lehfVi~aQ`uu`8mfiiWz~jxx}6;haljZu~fj20eaoPibmm6<=ndhUbob`#cikswfiwmVchccQy1^25[d*IGGO'BB@J3768migXajee nffpralt`YnkfdTz<Q?6^c/fYr{lUeizQasqfv86+kVrhcRbfsu?MqhdbzVIdby|`l^Rlvqu:92_xiRKwt^@pgdh:nhfxi6[|es]PgdhXKakbb0[\ES38AkgedlUAxliPBtdd9qtrm2_xiR[aurgg[Gudig7mma}j;Tqf[Via|kyhmc3iamqf(fYll|bohhnmhnz9vvfz}ke:!mPcnpf[qwm4;'oRcnjnp\r`ttafd6=9"l_lkmkwYqm{ybcc3;,b]aqljcW{o}e~g`n<2/gZehzlUfmga}_wgq94*dWjeyiRcfnnp\r`t::%iTob|j_lkmkwYfdybjS{k}=85/gZkaoVco1<"l_tqf[gsaz4:'oR{|e^qji86+kVxiR}`fu`pgdh:8%iT|l|{_hf`kacXzlmbyk}=1.`[pubWc~jkRlzff?2(fYi}dUhccz}om]skwrt58&hSx}j_bmmpwik84;'oR{|e^aljqthd87; nQzsd]`kkruge86<!mPurg\gjhszff81="l_tqf[fii|{eg80>#c^wpaZehf}xd`83?,b]vw`Ydgg~yca820-a\qvcXkfd~bb8=1.`[pubWjeexac8<2/gZstmVidby|`l8?3(fYr{lUhccz}om3395*dW|ynSnaatsmo54;7$jU~hQloovqki7559&hSx}j_bmmpwik9:7; nQzsd]`kkruge;?1="l_tqf[`~sWkyhmc3?,b]vw`Yrf|ynhRl|c`l>4)eX}zoTt~zPtbi\sjtli{e~bi3>,b]f|dkwdlUoi~ghn<dbhvc+kVidb~z}ahlw[qwm4osxh|aam.`[pubzVyhmcQlh`km94*z:2dd=?5wc7qo|13s%oml85:"3|BCt16>3IJs=o=5F;095~U2k3;3576::010153?2;:=?>ua19595>h6010=7)?76;3;4>{T;<0:44475;307062038;:8m4d0`0>5<628qX9n4>888;1?74;<:>47<?6218rQ?3290:6<48fzQ6g?7?132>6<=<517;>761;:1/=:h5779'<3<6j>1i=o=50;07>=>=?oqC=:j4$8c95g5<R8n1=v?::|&2<0<6i11/><4>b59'1g<6j<1/5>4?;%;1>4>f3"??6=4+89866>h??38;76%:3;29 =>==;1e4:4?;:)65?6=,1219?5a8682?>-283:1(565539m<2<532!?j7>5$9:917=i0>0876%;e;29 =>==;1e4:4;;:)7`?6=,1219?5a8686?>-3j3:1(565539m<2<132!?m7>5$9:917=i0>0<76%;9;29 =>==;1e4:47;:)7<?6=,1219?5a868:?>-3?3:1(565539m<2<f32!?:7>5$9:917=i0>0i76%;5;29 =>==;1e4:4l;:)70?6=,1219?5a868g?>-3;3:1(565539m<2<b32!?>7>5$9:917=i0>0m76%;0;29 =>==;1e4:4>0:9(7c<72-2368<4n95954=<#:o1<7*78;71?k>028807&=k:18'<=<2:2d3;7?<;:)0g?6=,1219?5a86820>=,;k0;6)67:408j=1=9<10'>o50;&;<?353g2<6<84;*1:>5<#010>>6`77;34?>-403:1(565539m<2<6021 ?:4?:%:;>04<f1=1=454+4c94?"?03?97c68:0c8?.3>290/454:2:l;3?7e32!>47>5$9:917=i0>0:o65$5683>!>?2<80b5951e98/00=83.347;=;o:4>4c<3"?>6=4+89866>h??3;m76%;c;29 =>==;1e4:4=1:9(04<72-2368<4n95967=<#:<1<7*78;71?k>02;907o?74;295?6=8r.2m7?8e:J2<7=O9>n0c5:50;9~f4>4290:6=4?{%;b>31<@8297E?8d:m52?6=3th2o7>52;294~">i3;h7E?72:J23a=#0:0:n;5`8383>>o103:17pl6b;29f?6=8r.2m7?m;I3;6>N6?m1e;<4>;o51>5=h180;66a67;29?j>b2900c4850;9l52e=831d4i4?::m:4?6=3`336=44o0d94?=h100;66a:f;29?xd6=?0;6?4?:1y'=d<082B:4?5G16f8 =5=9k<0e;650;9l<7<722wi=8950;094?6|,0k1;=5G1908L41c3-286<l9;h4;>5<<g181<75rb077>5<3290;w)7n:718L4>53A;<h6*73;3a2>"1n3;0e<k50;9j65<722c>h7>5;n:a>5<<uk;>>7>54;294~">i3<87E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`210<72=0;6=u+9`855>N60;1C=:j4$9195g0<,?l1=6g>e;29?l532900e8j50;9l<g<722wio54?:383>5}#1h0<<6F>839K52b<,191=o84i7:94?=h0;0;66smc883>6<729q/5l488:J2<7=O9>n0(5=51c48 3`=9?1/444>9b9j2<<722c=m7>5;n:1>5<<ukk?6=4::183!?f2?80D<6=;I34`>"?;3;i:6*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjh?1<7;50;2x <g=>;1C=5<4H05g?!>428h=7)8i:09j5`<722c9<7>5;h17>5<<a<n1<75`8c83>>{ei?0;684?:1y'=d<1:2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`8;6=44i2694?=n=m0;66a7b;29?xdf?3:197>50z&:e?053A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<<a;:1<75f3583>>o2l3:17b6m:188yg?b290>6=4?{%;b>34<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n:90;66g<4;29?l3c2900c5l50;9~f<`=83?1<7>t$8c927=O9180D<9k;%:0>4d13-<m6<5f1d83>>o583:17d=;:188m0b=831d4o4?::ae5<72<0;6=u+9`856>N60;1C=:j4$9195g0<,?l1=6g>e;29?l472900e>:50;9j1a<722e3n7>5;|`b5?6==3:1<v*6a;41?M7?:2B:;i5+8282f3=#>o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc1>5<2290;w)7n:708L4>53A;<h6*73;3a2>"1n3;0e<k50;9j65<722c887>5;h7g>5<<g1h1<75rb`194?3=83:p(4o5639K5=4<@8=o7)6<:0`5?!0a281b=h4?::k14?6=3`9?6=44i4f94?=h0k0;66smad83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8c9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eim0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5169'<<<60k1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smab83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=9:1/444>979j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eik0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5119'<<<61?1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sma`83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=m2.357?6d:k5=?6=3`<j6=44i7`94?=n>j0;66a72;29?xdf13:197>50z&:e?1>3A;3>6F>7e9'<6<6j?1/:k4k;%::>4?c3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol8:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?e<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg0=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6l5+8882=`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win84?:483>5}#1h0<56F>839K52b<,191=o84$7d9=>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a0?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o037)66:0;e?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukh86=4::183!?f2>30D<6=;I34`>"?;3;i:6*9f;58 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbc094?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2?1/444>a19j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ej80;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h55:&;=?7f92c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17plm0;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<33-226<o>;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188ygga290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7=4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fd>=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6?5f6883>>o1i3:17d8m:188m3e=831d4?4?::af`<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=45f6883>>o1i3:17d8m:188m3e=831d4?4?::afa<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=:5f6883>>o1i3:17d8m:188m3e=831d4?4?::aff<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=>5f6883>>o1i3:17d8m:188m3e=831d4?4?::afg<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1==5f6883>>o1i3:17d8m:188m3e=831d4?4?::afd<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1i6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a=?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o0o7d86:188m3g=831b:o4?::k5g?6=3f296=44}ca4>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3i0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbb494?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2h1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smc483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=12c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17pll4;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<?3`<26=44i7c94?=n>k0;66g9c;29?j>52900qom<:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?1<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnn<50;794?6|,0k1;45G1908L41c3-286<l9;%4e>3=n>00;66g9a;29?l0e2900e;m50;9l<7<722wio<4?:483>5}#1h0<56F>839K52b<,191=o84$7d91>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh<7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g87?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukhm6=4::183!?f2>30D<6=;I34`>"?;3;i:6*9f;18m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjk21<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:39j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el90;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i;1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd083>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a39j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ell0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6191b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smdg83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>919j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em90;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6181b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme083>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>909j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em;0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61;1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme283>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>939j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em=0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61:1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>929j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em?0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61=1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme683>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>959j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em10;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61<1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme883>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>949j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{emh0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61>1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smec83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>969j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{emj0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6111b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smee83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>999j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eml0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6101b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smeg83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>989j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el;0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i:1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd283>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a29j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el=0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i=1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a59j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el?0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60j1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd683>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8b9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el10;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60m1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd883>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8e9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{elh0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60l1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smdc83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8d9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{elj0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60o1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smde83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8g9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{en80;6>4?:1y'=d<002B:4?5G16f8 =5=9k<0(;h5139'<<<61h1b:44?::k5e?6=3f296=44}cd3>5<4290;w)7n:6:8L4>53A;<h6*73;3a2>"1n3l0(57518c8m3?=831b:l4?::m;6?6=3thm>7>53;294~">i3=37E?72:J23a=#0:0:n;5+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44>290?6=4?{%;b>2b<@8297E?8d:&;7?7e>2c=57>5;h4b>5<<a1l1<75`8383>>{e9;=1<7:50;2x <g=?j1C=5<4H05g?!>428h=7d86:188m3g=831b4k4?::m;6?6=3th:>;4?:583>5}#1h0<o6F>839K52b<,191=o84i7;94?=n>h0;66g7f;29?j>52900qoh9:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?d<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk950;794?6|,0k1;45G1908L41c3-286<l9;%4e>36<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk650;794?6|,0k1;45G1908L41c3-286<l9;%4e>42<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk750;794?6|,0k1;45G1908L41c3-286<l9;%4e>47<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnkj50;094?6|,0k1;=5G1908L41c3-286<l9;h4;>5<<g181<75rbgc94?5=83:p(4o5799K5=4<@8=o7)6<:0`5?!0a2o1b:44?::k5e?6=3f296=44}cd`>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3;27d86:188m3g=831b:o4?::k5g?6=3f296=44}cda>5<4290;w)7n:6:8L4>53A;<h6*73;3a2>"1n3;37)66:0;a?l0>2900e;o50;9l<7<722wi=?650;694?6|,0k1:>5G1908L41c3-286<l9;%4e>4=n9l0;66g=0;29?l3c2900c5l50;9~f46d29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33=?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47729086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33`?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47329086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c325?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47?29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c321?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47d29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c32=?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47a29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33f?6=:3:1<v*6a;54?M7?:2B:;i5+8282f3=#>o0:>6g99;29?j>52900qo??f;296?6=8r.2m798;I3;6>N6?m1/4>4>b79'2c<6:2c=57>5;n:1>5<<uk;:?7>52;294~">i3=<7E?72:J23a=#0:0:n;5+6g826>o113:17b6=:188yg76?3:1>7>50z&:e?103A;3>6F>7e9'<6<6j?1/:k4>2:k5=?6=3f296=44}c32f?6=:3:1<v*6a;54?M7?:2B:;i5+8282f3=#>o0:>6g99;29?j>52900qo?>e;296?6=8r.2m798;I3;6>N6?m1/4>4>b79'2c<6:2c=57>5;n:1>5<<uk;;m7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;;i7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:>7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;::7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:m7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:h7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;?87>55;294~">i3=27E?72:J23a=#0:0:n;5+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<uk;?>7>53;294~">i3=37E?72:J23a=#0:0:n;5+6g82<>"?13;2o6g99;29?l0f2900c5<50;9~f42129096=4?{%;b>26<@8297E?8d:&;7?7e>2c=47>5;n:1>5<<uk;??7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g8g?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<uk;?97>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;8n7>54;294~">i3<?7E?72:J23a=#0:0:n;5+6g82?l7b2900e8j50;9j<f<722e3n7>5;|`27f<72=0;6=u+9`850>N60;1C=:j4$9195g0<,?l1=6g>e;29?l3c2900e5m50;9l<g<722wi=>j50;694?6|,0k1:95G1908L41c3-286<l9;%4e>4=n9l0;66g:d;29?l>d2900c5l50;9~f45b290?6=4?{%;b>32<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?<f;290?6=8r.2m78;;I3;6>N6?m1/4>4>b79'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6<90;694?:1y'=d<1<2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15394?2=83:p(4o5659K5=4<@8=o7)6<:0`5?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8?86=48:183!?f2>k0D<6=;I34`>"1n3;j7d86:188m3g=831b:o4?::k5g?6=3`<o6=44i7g94?=h0;0;66s|5583>7}Y==16=8=56e9~w05=838pR8=4=ea9<7=z{<;1<7<t^4389ag=0;1v8>50;0xZ06<5m214?5rs5d94?4|V=l01i85839~w1c=838pR9k4=e69<7=z{=n1<7<t^5f89a4=0;1v9l50;0xZ1d<5lo14?5rs5c94?4|V=k01hm5839~w1?=838pR974=dc9<7=z{=21<7<t^5:89`>=0;1v9950;0xZ11<5l<14?5rs5494?4|V=<01h:5839~w13=838pR9;4=d09<7=z{=>1<7<t^5689`6=0;1v9=50;0xZ15<5mo14?5rs5094?4|V=801i>5839~w16=838pR9>4=ef9<7=z{:l1<7<t^2d89ad=0;1v>k50;0xZ6c<5m314?5rs2f94?4|V:n01i95839~w6e=838pR>m4=e79<7=z{:h1<7<t^2`89a5=0;1v>o50;0xZ6g<5ll14?5rs2;94?4|V:301hj5839~w6>=838pR>64=d`9<7=z{:=1<7<t^2589`?=0;1v8o50;0xZ0g<5l=14?5rs4;94?4|V<301h;5839~w0>=838pR864=d19<7=z{<=1<7<t^4589`7=0;1v8850;0xZ00<5ml14?5rs4794?4|V<?01i?5839~w17=83?pR9?4=071>=d<5h919i5215391a=:9=91:n5rs051>5<6lrT8:636c;:1?872:3;n70?:4;3f?8g428o01l<51d9>e4<6m27j<7?j;<;e>4c<50o1=h52a682a>;f>3;n70o::0g89d2=9l16=?651d9>54b=9l16=<o51d9>540=9l16=<<51d9>55c=9l16==o51d9>517=9l16=9>51d9>56`=9l16=>k51d9>56b=9l16=>m51d9>56d=9l16=9;51d9~w2c=83np1<6;:96894352;:01<;;:328944?2;:01<?k:328947f2;:01<?9:32894752;:01<>j:328946f2;:01<>6:308946d2;801<:::4f8yv2d290<w0?73;45?[2d34;:57;j;<321?3b34;:=7;j;<33`?3b34;;57;j;|q23g<72;q6=8;51d9>=g<6?j1v<9n:1878e>2?k01k<56`9>bg<1i272n77>;|q:`?6=:r72n7;i;<;`>3><uz;<=7>52z?210<2l27:9;472:p522=838p1<;::26894302180q~?85;297~;a:3<270hm:7;8942221h0q~?87;296~;6==03n636b;;;?xu6?10;6?u213:9<g=:9<91:h5rs07b>5<3s4k365<4=e392d=:l90=m63ic;4b?xu6>80;6>u2ag8;6>;cn3<j70jj:7c8yv71:3:1?v3m0;:1?8c62?k01h>56`9~w4042908w0l>:9089`5=>h16i?49a:p532=839p1o<5839>a0<1i27n878n;|q220<72:q6n>472:?f3?0f34o=6;o4}r352?6=;r7i876=;<g:>3g<5l21:l5rs044>5<4s4h>65<4=d`92d=:mh0=m6s|17:94?5|5k<14?52ee85e>;bk3<j7p}>6883>6}:j>03>63jf;4b?8cb2?k0q~?:b;297~;f132970j<:7c89a4=>h1v<;l:1808gf21801i;56`9>`1<1i2wx=8j50;1x9dd=0;16h:49a:?g2?0f3ty:9h4?:2y>ef<?:27o578n;<f;>3g<uz;>j7>53z?b`?>534ni6;o4=ec92d=z{8<;6=4<{<cf>=4<5mn1:l52db85e>{t9?o1<7<>{<`;>=4<5mn1:o52db85f>;cj3<i70jn:7`89a?=>k16h549b:?g3?0e34n=6;l4=e792g=:l=0=n63k3;4a?8b52?h01hh56c9>a`<1j27nh78m;<g`>3d<5lh1:o52e`85f>;b13<i70k7:7`89`1=>k16i;49b:?f1?0e34o?6;l4=d192g=:m;0=n63j1;4a?8c72?h01ih56c9>``<1j27o=78m;<f3>3d<uz;=j7>52z?aa?>534lh6;l4}r35e?6=9:q6m=47b:?:b?3c34h36;74=cd92<=:k90=563l1;4:?8e52?301n=5689>g1<1127h9786;<a5>3?<5j=1:452b885=>;ei3<270lm:7;89ge=>016ni499:?aa?0>34;>?78l;|q22g<7289p1l?58c9>e5<2l27i478n;<`e>3g<5j:1:l52c085e>;d:3<j70m<:7c89f2=>h16o849a:?`2?0f34i<6;o4=c;92d=:jh0=m63mb;4b?8dd2?k01oj56`9>f`<1i27:9>49b:p53e=83;8w0o=:9`89d7==m16n549b:?ab?0e34i;6;l4=b392g=:k;0=n63l3;4a?8e32?h01n;56c9>g3<1j27h;78m;<`:>3d<5kk1:o52bc85f>;ek3<i70lk:7`89gc=>k16=8=56`9~w40c290:?v3n3;:a?8g52<n01o656b9>fc<1k27h<78l;<a2>3e<5j81:n52c285g>;d<3<h70m::7a89f0=>j16o:49c:?a=?0d34hj6;m4=c`92f=:jj0=o63md;4`?8db2?i01<;<:7;8yv7203:18v3n4;:a?8`72?301k?5689>bd<112wx=8750;7x9d3=0k16m94:d:?e4?0f34l:6;o4=gc92d=z{8=;6=4;{<361?>e34;>:787;<a:>3?<58>=6;64}r34=?6=:r7:994:d:?216<?:2wx=:=50;1x94302?2014l5919>g=<102wx=:850;0x94352<n014l5969~wfg=83hp1l=5359>e7<4<27j=7=;;<c3>62<50l1?9529d800>;f?39?70o9:2689d3=;=16m94<4:?`<?>53tyhj7>5bz?b7?4734k96?>4=`3965=:i909<636f;03?8?b2;:01l95219>e3<5827j97<?;<c7>76<5j314?5rsbf94?75s4k=65l4=`791a=:i10=563nf;4:?8d72?301o?5689>f7<1127i?786;<`7>3?<5k?1:452b785=>;e?3<270o6:7;89dg=>016mo499:?bg?0>34ko6;74=`g92<=z{jo1<7?={<c4>=d<5h<19i52a985e>;fn3<j70l?:7c89g7=>h16n?49a:?a7?0f34h?6;o4=c792d=:j?0=m63m7;4b?8g>2?k01lo56`9>eg<1i27jo78n;<cg>3g<5ho1:l5rsb`94?75s43n65l4=`591a=:i10=n63nf;4a?8d72?h01o?56c9>f7<1j27i?78m;<`7>3d<5k?1:o52b785f>;e?3<i70o6:7`89dg=>k16mo49b:?bg?0e34ko6;l4=`g92g=z{ji1<7?={<;e>=d<50o19i52a985g>;fn3<h70l?:7a89g7=>j16n?49c:?a7?0d34h?6;m4=c792f=:j?0=o63m7;4`?8g>2?i01lo56b9>eg<1k27jo78l;<cg>3e<5ho1:n5rsg694?76s4l:65<4=ea92f=:lh0=o63k8;4`?8b12?i01i:56b9>`7<1k27ni78l;<g`>3e<5lk1:n52e985g>;b>3<h70k;:7a89`4=>j16i=49c:?ga?0d34n;6;m4}rd0>5<69r7m<76=;<fg>3e<5mh1:n52d885g>;c?3<h70j::7a89a5=>j16ik49c:?f`?0d34oi6;m4=d;92f=:m>0=o63j5;4`?8c42?i01h?56b9>`c<1k27o=78l;|qe1?6=:8q6j?472:?g`?0>34nh6;74=e`92<=:lh0=563k9;4:?8b?2?301i95689>`3<1127o9786;<f7>3?<5m91:452d385=>;bn3<270kj:7;89`b=>016in499:?ff?0>34oj6;74=d;92<=:m10=563j7;4:?8c12?301h;5689>a1<1127n?786;<g1>3?<5l;1:452e185=>;cn3<270jj:7;89a7=>016h=499:p56g=838p1<<7:4f8944>2180q~?<9;296~;6:>03>63>2885=>{t9:21<7<t=005>=4<58826;o4}r31g?6=:r7m:76=;<313?0>3ty:>i4?:3y>b2<?:27:>:49a:p57c=838p1k65839>570=>01v<<i:1818`>21801<<9:7c8yv7493:1;v3id;:1?876l32h70?>a;:`?876>32h70?>2;:`?877m32h70??a;:`?xu6:h0;6?u2fb85g>;ai3297p}>3183>7}:nj03>63id;4;?xu6:k0;6?u2fc8;6>;ak3<27p}>3683>6}:9;314k5210f9<g=:98o1:45rs015>5<3s4;9:76i;<313?>a34;:m76m;<32f?0>3ty:?84?:7y>b<<1k27m478l;<d4>3e<5o<1:n521049<g=:98=1:45rs017>5<1s4l26;l4=g:92g=:n>0=n63i6;4a?876:32i70?>3;4:?xu6;:0;6;u2f885e>;a03<j70h8:7c89c0=>h16==k58c9>55`=>01v<==:1858`>2?301k65689>b2<1127m:786;<33e?>e34;;n786;|q246<72;q6==o55e9>55e=0;1vkk50;1x946c2;801<??:308946>2>>0q~??4;296~;68l0>h63>118;6>{tno0;6>u2103967=:98>1>?5211f931=z{8:>6=4={<326?3c34;:876=;|q245<72:q6=<;5239>54>=:;16=<?5759~w4612909w0?>6;7g?87603297p}>0083>6}:9831>?5210a967=:98?1;95rs024>5<5s4;:m7;k;<32g?>53ty:<?4?:3y>54`=:;16=<75759~w46?2909w0?>d;7g?876n3297p}>2183>6}:99h14?5211;9<c=:99i1;>5rs002>5<4s4;;j76=;<33`?>a34;:<79<;|q267<72:q6=<=5839>547=0o16=<:5729~w4442908w0?>7;:1?876=32m70?>8;50?xu6:=0;6>u210`9<7=:98314k5210a936=z{88>6=4={<32a?>534;:j79<;|q20d<72;q6=9<5689>512=0;1v<:7:181873=38;70?;2;:1?xu6<>0;64u21539<f=:9=:14n5212d9<f=:9:o14n5212f9<f=:9:i14n5212`9<f=:9=?14n521549<7=z{8>i6=4={<30f?>e34;?8786;|q20f<72:q6=>m58c9>56d==m16=9:56`9~w42c2908w0?<d;:a?874k3?o70?;4;4a?xu6<l0;6>u212g9<g=:9:n19i5215692f=z{8>m6=4<{<30b?>e34;8i7;k;<377?0>3ty:9=4?:2y>516=0k16=>h55e9>515=>h1v<:6:181873;32970?;2;4b?xu6=80;6>u21539<g=:9=:19i5215192g=zug9;n7>51zJ23a=zf::h6=4>{I34`>{i;9n1<7?tH05g?xh48l0;6<uG16f8yk57n3:1=vF>7e9~j677290:wE?8d:m747=83;pD<9k;|l057<728qC=:j4}o127?6=9rB:;i5rn237>5<6sA;<h6sa30794?7|@8=o7p`<1783>4}O9>n0qc=>7;295~N6?m1vb>?7:182M70l2we?<750;3xL41c3td8=l4?:0yK52b<ug9:n7>51zJ23a=zf:;h6=4>{I34`>{i;8n1<7?tH05g?xh49l0;6<uG16f8yk56n3:1=vF>7e9~j647290:wE?8d:m777=83;pD<9k;|l067<728qC=:j4}o117?6=9rB:;i5rn207>5<6sA;<h6sa33794?7|@8=o7p`<2783>4}O9>n0qc==7;295~N6?m1vb><7:182M70l2we??750;3xL41c3td8>l4?:0yK52b<ug99n7>51zJ23a=zf:8h6=4>{I34`>{i;;n1<7?tH938L=6<@8=o7p`<2d83>4}O9>n0qc==f;295~N6?m1vb>=?:182M>63A2;7E?8d:m767=83;pD5?4H928L41c3td8??4?:0yK52b<ug98?7>51zJ23a=zf:9?6=4>{I34`>{i;:?1<7?tH05g?xh4;?0;6<uG16f8yk54?3:1=vF>7e9~j65?290:wE?8d:m76?=83;pD<9k;|l07d<728qC=:j4}o10f?6=9rB:;i5rn21`>5<6sA;<h6sa32f94?7|@8=o7p`<3d83>4}O9>n0qc=<f;295~N6?m1vb>:?:182M70l2we?9?50;3xL41c3td88?4?:0yK52b<ug9??7>51zJ23a=zf:>?6=4>{I34`>{i;=?1<7?tH05g?xh4<?0;6<uG16f8yk53?3:1=vF>7e9~j62?290:wE?8d:m71?=83;pD<9k;|l00d<728qC=:j4}o17f?6=9rB:;i5rn26`>5<6sA;<h6sa35f94?7|@8=o7p`<4d83>4}O9>n0qc=;f;295~N6?m1vb>;?:182M70l2we?8?50;3xL41c3td89?4?:0yK52b<ug9>?7>51zJ23a=zf:??6=4>{I34`>{i;<?1<7?tH05g?xh4=?0;6<uG16f8yk52?3:1=vF>7e9~j63?290:wE?8d:m70?=83;pD<9k;|l01d<728qC=:j4}o16f?6=9rB:;i5rn27`>5<6sA;<h6sa34f94?7|@8=o7p`<5d83>4}O9>n0qc=:f;295~N6?m1vb>8?:182M70l2we?;?50;3xL41c3td8:?4?:0yK52b<ug9=?7>51zJ23a=zf:<?6=4>{I34`>{i;??1<7?tH05g?xh4>?0;6<uG16f8yk51?3:1=vF>7e9~j60?290:wE?8d:m73?=83;pD<9k;|l02d<728qC=:j4}o15f?6=9rB:;i5rn24`>5<6sA;<h6sa37f94?7|@8=o7p`<6d83>4}O9>n0qc=9f;295~N6?m1vb>9?:182M70l2we?:?50;3xL41c3td8;?4?:0yK52b<ug9<?7>51zJ23a=zf:=?6=4>{I34`>{i;>?1<7?tH05g?xh4??0;6<uG16f8yk50?3:1=vF>7e9~j61?290:wE?8d:m72?=83;pD<9k;|l03d<728qC=:j4}o14f?6=9rB:;i5rn25`>5<6sA;<h6sa36f94?7|@8=o7p`<7d83>4}O9>n0qc=8f;295~N6?m1vb>6?:182M70l2we?5?50;3xL41c3td84?4?:0yK52b<ug93?7>51zJ23a=zf:2?6=4>{I34`>{i;1?1<7?tH05g?xh40?0;6<uG16f8yk5??3:1=vF>7e9~j6>?290:wE?8d:m7=?=83;pD<9k;|~yEFDs=:26o>7e7030xFGJr:vLM^t}AB
\ No newline at end of file
-------------------------------------------------------------------------------
-- Copyright (c) 2014 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : ila_icon.vhd
-- /___/ /\ Timestamp : Mon Jan 20 14:27:06 CET 2014
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ila_icon IS
port (
CONTROL0: inout std_logic_vector(35 downto 0));
END ila_icon;
ARCHITECTURE ila_icon_a OF ila_icon IS
BEGIN
END ila_icon_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jan 20 13:26:39 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
# END Select
# BEGIN Parameters
CSET component_name=ila_icon
CSET constraint_type=external
CSET enable_jtag_bufg=true
CSET example_design=false
CSET number_control_ports=1
CSET use_ext_bscan=false
CSET use_softbscan=false
CSET use_unused_bscan=false
CSET user_scan_chain=USER1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T02:47:25Z
# END Extra information
GENERATE
# CRC: 8974d9d4
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file l2p_fifo.vhd when simulating
-- the core, l2p_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY l2p_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END l2p_fifo;
ARCHITECTURE l2p_fifo_a OF l2p_fifo IS
-- synthesis translate_off
COMPONENT wrapped_l2p_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_l2p_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 10,
c_default_value => "BlankString",
c_din_width => 32,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "00C0FFEE",
c_dout_width => 32,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "1kx36",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 1023,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 1022,
c_prog_full_type => 4,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 10,
c_rd_depth => 1024,
c_rd_freq => 1,
c_rd_pntr_width => 10,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 10,
c_wr_depth => 1024,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 10,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_l2p_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full
);
-- synthesis translate_on
END l2p_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Tue Nov 18 14:54:07 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=l2p_fifo
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=00C0FFEE
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1023
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=1022
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=32
CSET input_depth=1024
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: 623082b9
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment