Commit 8c05fdfa authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Tristan Gingold

Apply 1 suggestion(s) to 1 file(s)

parent 035b97d1
......@@ -80,7 +80,7 @@ entity z091_01_wb_master is
wbm_ack : in std_logic;
wbm_dat_i : in std_logic_vector(31 downto 0);
wbm_stb : out std_logic;
wbm_cyc_bar_o : out std_logic_vector(6 downto 0); --new
wbm_cyc_bar_o : out std_logic_vector(6 downto 0); --new
wbm_we : out std_logic;
wbm_sel : out std_logic_vector(3 downto 0);
wbm_adr : out std_logic_vector(31 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment