Commit e07c65c3 authored by Tristan Gingold's avatar Tristan Gingold Committed by Tristan Gingold

init.vhd: remove unused signals

parent 89a4b22a
......@@ -87,10 +87,6 @@ signal ctl_bus_qqq : std_logic;
signal ctl_msi_q : std_logic;
signal ctl_msi_qq : std_logic;
signal ctl_msi_qqq : std_logic;
signal bus_dev_func_int : std_logic_vector(15 downto 0);
signal max_read_int : std_logic_vector(2 downto 0);
signal max_payload_int : std_logic_vector(2 downto 0);
signal cfg_msicsr_int : std_logic_vector(15 downto 0);
signal sample : std_logic;
signal get_sample : std_logic;
signal tl_cfg_ctl_wr_q : std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment