Commit 2eb96983 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

VME_CR_Pack: disable everything except A24/A32

parent c231af74
......@@ -38,19 +38,17 @@ package VME_CR_pack is
"1111111100000000001100100000000000000000000100001111111100001011";
constant c_amcap0 : std_logic_vector(63 downto 0) :=
"0000000000000000000000000000000000000000000000001011101100000000"; --A32
-- "1011101100000000001000100000000100000000000000001011101100000000";
constant c_amcapMBLT : std_logic_vector(63 downto 0) :=
"0000000000000000000000000000000000000000000000000000000100000000";
constant c_amcap1 : std_logic_vector(63 downto 0) :=
"1011101100000000000000000000000000000000000000000000000000000000"; --A24
constant c_amcap2 : std_logic_vector(63 downto 0) :=
"0000000000000000001000100000000000000000000000000000000000000000"; --A16
"0000000000000000000000000000000000000000000000000000000000000000"; --disabled
constant c_amcapA64 : std_logic_vector(63 downto 0) :=
"0000000000000000000000000000000000000000000000000000000000001011"; --for modalities A64, A64_BLT, A64_MBLT
"0000000000000000000000000000000000000000000000000000000000000000"; --
-- disabled
constant c_amcap2e : std_logic_vector(63 downto 0) :=
"0000000000000000000000000000000100000000000000000000000000000000"; -- for modalities TWO_edge
"0000000000000000000000000000000000000000000000000000000000000000"; -- disabled
constant c_xamcap0 : std_logic_vector(255 downto 0) :=
(others => '0');
......@@ -537,12 +535,12 @@ package VME_CR_pack is
--......
-- Address Decoder Mask ADEM
16#188# => x"f0", -- Fun 0
16#188# => x"ff", -- Fun 0
16#189# => x"00", -- Fun 0
16#18A# => x"00", -- Fun 0
16#18B# => x"00", -- Fun 0 --DFS = '0'
16#18c# => x"00", -- Fun 1
16#18c# => x"ff", -- Fun 1
16#18d# => x"f0", -- Fun 1
16#18e# => x"00", -- Fun 1
16#18f# => x"00", -- Fun 1 --DFS = '0'
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment