Commit 3435d975 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

wishbonized: adding link_ok output signal to the wrpc

parent 97652bb9
......@@ -6,7 +6,7 @@
-- Author : Grzegorz Daniluk
-- Company : Elproma
-- Created : 2011-02-02
-- Last update: 2012-02-08
-- Last update: 2012-02-17
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -196,7 +196,9 @@ entity wr_core is
pps_p_o : out std_logic;
dio_o : out std_logic_vector(3 downto 0);
rst_aux_n_o : out std_logic
rst_aux_n_o : out std_logic;
link_ok_o : out std_logic
);
end wr_core;
......@@ -502,7 +504,8 @@ begin
txtsu_valid_o => ep_txtsu_valid,
txtsu_ack_i => ep_txtsu_ack,
wb_i => ep_wb_in,
wb_o => ep_wb_out);
wb_o => ep_wb_out,
led_link_o => link_ok_o);
ep_txtsu_ack <= txtsu_ack_i or mnic_txtsu_ack;
-----------------------------------------------------------------------------
......
......@@ -6,7 +6,7 @@
-- Author : Grzegorz Daniluk
-- Company : Elproma
-- Created : 2011-02-02
-- Last update: 2012-02-09
-- Last update: 2012-02-17
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -158,7 +158,9 @@ entity xwr_core is
pps_p_o : out std_logic;
dio_o : out std_logic_vector(3 downto 0);
rst_aux_n_o : out std_logic
rst_aux_n_o : out std_logic;
link_ok_o : out std_logic
);
end xwr_core;
......@@ -169,7 +171,7 @@ architecture struct of xwr_core is
g_simulation : integer := 0;
g_phys_uart : boolean := true;
g_virtual_uart : boolean := false;
g_rx_buffer_size : integer := 12;
g_rx_buffer_size : integer := 12;
g_dpram_initf : string := "";
g_dpram_size : integer := 16384; --in 32-bit words
g_interface_mode : t_wishbone_interface_mode := CLASSIC;
......@@ -260,7 +262,9 @@ architecture struct of xwr_core is
pps_p_o : out std_logic;
dio_o : out std_logic_vector(3 downto 0);
rst_aux_n_o : out std_logic
rst_aux_n_o : out std_logic;
link_ok_o : out std_logic
);
end component;
......@@ -361,7 +365,9 @@ begin
pps_p_o => pps_p_o,
dio_o => dio_o,
rst_aux_n_o => rst_aux_n_o
rst_aux_n_o => rst_aux_n_o,
link_ok_o => link_ok_o
);
timestamps_o.port_id(5) <= '0';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment