Commit 4aef186b authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint: revert to old RX CRC checking algorithm (suspect for RX errors)

parent 5947d8b3
......@@ -14,7 +14,7 @@ use work.ep_crc32_pkg.all;
entity ep_rx_crc_size_check is
generic
(
g_use_new_crc : boolean := true);
g_use_new_crc : boolean := false);
port(
clk_sys_i : in std_logic;
rst_n_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment