Commit 4ef20fad authored by Peter Jansweijer's avatar Peter Jansweijer Committed by Grzegorz Daniluk

Added timestamp outputs txts_o, rxts_o to endpoint

parent a595a117
...@@ -265,6 +265,8 @@ package endpoint_pkg is ...@@ -265,6 +265,8 @@ package endpoint_pkg is
inject_packet_sel_i : in std_logic_vector(2 downto 0) := "000"; inject_packet_sel_i : in std_logic_vector(2 downto 0) := "000";
inject_user_value_i : in std_logic_vector(15 downto 0) := x"0000"; inject_user_value_i : in std_logic_vector(15 downto 0) := x"0000";
rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0); rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0);
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
led_link_o : out std_logic; led_link_o : out std_logic;
led_act_o : out std_logic; led_act_o : out std_logic;
link_kill_i : in std_logic := '0'; link_kill_i : in std_logic := '0';
...@@ -392,6 +394,8 @@ package endpoint_pkg is ...@@ -392,6 +394,8 @@ package endpoint_pkg is
inject_packet_sel_i : in std_logic_vector(2 downto 0) := "000"; inject_packet_sel_i : in std_logic_vector(2 downto 0) := "000";
inject_user_value_i : in std_logic_vector(15 downto 0) := x"0000"; inject_user_value_i : in std_logic_vector(15 downto 0) := x"0000";
rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0); rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0);
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
led_link_o : out std_logic; led_link_o : out std_logic;
led_act_o : out std_logic; led_act_o : out std_logic;
link_kill_i : in std_logic := '0'; link_kill_i : in std_logic := '0';
......
...@@ -423,6 +423,8 @@ package endpoint_private_pkg is ...@@ -423,6 +423,8 @@ package endpoint_private_pkg is
txts_timestamp_o : out std_logic_vector(31 downto 0); txts_timestamp_o : out std_logic_vector(31 downto 0);
txts_timestamp_stb_o : out std_logic; txts_timestamp_stb_o : out std_logic;
txts_timestamp_valid_o : out std_logic; txts_timestamp_valid_o : out std_logic;
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
regs_i : in t_ep_out_registers; regs_i : in t_ep_out_registers;
regs_o : out t_ep_in_registers); regs_o : out t_ep_in_registers);
end component; end component;
......
...@@ -101,6 +101,8 @@ entity ep_timestamping_unit is ...@@ -101,6 +101,8 @@ entity ep_timestamping_unit is
-- TX timestamp valid (to TXTSU/Framer) -- TX timestamp valid (to TXTSU/Framer)
txts_timestamp_valid_o : out std_logic; txts_timestamp_valid_o : out std_logic;
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Wishbone regs -- Wishbone regs
...@@ -363,6 +365,9 @@ begin -- syn ...@@ -363,6 +365,9 @@ begin -- syn
npulse_o => rx_ts_done, npulse_o => rx_ts_done,
ppulse_o => open); ppulse_o => open);
txts_o <= tx_ts_done; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o <= rx_ts_done; -- 2013-Nov-28 peterj added for debugging/calibration
p_output_rx_ts : process (clk_rx_i) p_output_rx_ts : process (clk_rx_i)
begin begin
if rising_edge(clk_rx_i) then if rising_edge(clk_rx_i) then
......
...@@ -286,6 +286,9 @@ entity wr_endpoint is ...@@ -286,6 +286,9 @@ entity wr_endpoint is
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0); rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0);
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
led_link_o : out std_logic; led_link_o : out std_logic;
led_act_o : out std_logic; led_act_o : out std_logic;
...@@ -710,6 +713,9 @@ begin ...@@ -710,6 +713,9 @@ begin
txts_timestamp_o => txts_timestamp_value, txts_timestamp_o => txts_timestamp_value,
txts_timestamp_valid_o => txts_timestamp_valid, txts_timestamp_valid_o => txts_timestamp_valid,
txts_o => txts_o, -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o => rxts_o, -- 2013-Nov-28 peterj added for debugging/calibration
regs_i => regs_fromwb, regs_i => regs_fromwb,
regs_o => regs_towb_tsu); regs_o => regs_towb_tsu);
......
...@@ -260,6 +260,9 @@ entity xwr_endpoint is ...@@ -260,6 +260,9 @@ entity xwr_endpoint is
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0); rmon_events_o : out std_logic_vector(c_epevents_sz-1 downto 0);
txts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o : out std_logic; -- 2013-Nov-28 peterj added for debugging/calibration
led_link_o : out std_logic; led_link_o : out std_logic;
led_act_o : out std_logic; led_act_o : out std_logic;
...@@ -403,6 +406,8 @@ begin ...@@ -403,6 +406,8 @@ begin
wb_ack_o => wb_o.ack, wb_ack_o => wb_o.ack,
wb_stall_o => wb_o.stall, wb_stall_o => wb_o.stall,
rmon_events_o => rmon_events_o, rmon_events_o => rmon_events_o,
txts_o => txts_o, -- 2013-Nov-28 peterj added for debugging/calibration
rxts_o => rxts_o, -- 2013-Nov-28 peterj added for debugging/calibration
led_link_o => led_link_o, led_link_o => led_link_o,
led_act_o => led_act_o, led_act_o => led_act_o,
link_up_o => link_up_o, link_up_o => link_up_o,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment