Commit 54245d3c authored by Peter Jansweijer's avatar Peter Jansweijer

adding reset logic to PLL control signals

parent ddf1a1e7
......@@ -552,10 +552,13 @@ begin
pad_mosi_o => pll_mosi_o,
pad_miso_i => pll_miso_i);
process(clk_sys_i)
process(clk_sys_i, rst_n_i)
begin
if rising_edge(clk_sys_i) then
if (rst_n_i = '0') then
pll_reset_n_o <= '0';
pll_clk_sel_o <= '0';
pll_wr_mode_o <= (others => '0');
elsif rising_edge(clk_sys_i) then
if(sysc_regs_o.gpsr_pll_reset_o = '1') then
pll_reset_n_o <= '0';
elsif(sysc_regs_o.gpcr_pll_reset_o = '1') then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment