Commit 5852b50d authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

platform/xilinx/wr_xilinx_pkg: removed use_refclk_out generics causing compliation errors

parent 535518a0
......@@ -11,9 +11,7 @@ package wr_xilinx_pkg is
component wr_gtp_phy_spartan6
generic (
g_simulation : integer;
g_ch0_use_refclk_out : boolean := false;
g_ch1_use_refclk_out : boolean := false);
g_simulation : integer);
port (
gtp_clk_i : in std_logic;
ch0_ref_clk_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment