Commit 6b641c7a authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint: ep_rx_wb_master: improved error handling

parent 73d02fe3
...@@ -6,7 +6,7 @@ ...@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2009-06-22 -- Created : 2009-06-22
-- Last update: 2011-10-24 -- Last update: 2011-10-27
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -107,17 +107,18 @@ begin -- behavioral ...@@ -107,17 +107,18 @@ begin -- behavioral
src_out_int.sel(0) <= not snk_fab_i.bytesel; src_out_int.sel(0) <= not snk_fab_i.bytesel;
end if; end if;
if(src_wb_i.stall = '1' and snk_fab_i.dvalid = '1') then
state <= FLUSH_STALL; if(snk_fab_i.error = '1') then
state <= THROW_ERROR;
elsif(src_wb_i.stall = '1' and snk_fab_i.dvalid = '1') then
state <= FLUSH_STALL;
end if; end if;
if(snk_fab_i.eof = '1')then if(snk_fab_i.eof = '1')then
state <= FINISH_CYCLE; state <= FINISH_CYCLE;
end if; end if;
if(snk_fab_i.error = '1') then
state <= THROW_ERROR;
end if;
tmp_adr <= snk_fab_i.addr; tmp_adr <= snk_fab_i.addr;
tmp_dat <= snk_fab_i.data; tmp_dat <= snk_fab_i.data;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment