Commit c466a66b authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

board: remove etherbone repo/package dependency for SPEC too

parent f1f97978
...@@ -257,7 +257,7 @@ end entity xwrc_board_common; ...@@ -257,7 +257,7 @@ end entity xwrc_board_common;
architecture struct of xwrc_board_common is architecture struct of xwrc_board_common is
component eb_ethernet_slave is component eb_ethernet_slave is
generic( generic(
g_sdb_address : std_logic_vector(63 downto 0); g_sdb_address : std_logic_vector(63 downto 0);
g_timeout_cycles : natural := 6250000; -- 100 ms at 62.5MHz g_timeout_cycles : natural := 6250000; -- 100 ms at 62.5MHz
...@@ -274,7 +274,7 @@ architecture struct of xwrc_board_common is ...@@ -274,7 +274,7 @@ architecture struct of xwrc_board_common is
master_o : out t_wishbone_master_out; master_o : out t_wishbone_master_out;
master_i : in t_wishbone_master_in); master_i : in t_wishbone_master_in);
end component; end component;
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Signals -- Signals
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
......
...@@ -7,7 +7,7 @@ ...@@ -7,7 +7,7 @@
-- Author(s) : Grzegorz Daniluk <grzegorz.daniluk@cern.ch> -- Author(s) : Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
-- Company : CERN (BE-CO-HT) -- Company : CERN (BE-CO-HT)
-- Created : 2017-02-17 -- Created : 2017-02-17
-- Last update: 2017-07-04 -- Last update: 2018-07-04
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
...@@ -43,7 +43,6 @@ library work; ...@@ -43,7 +43,6 @@ library work;
use work.gencores_pkg.all; use work.gencores_pkg.all;
use work.wrcore_pkg.all; use work.wrcore_pkg.all;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.etherbone_pkg.all;
use work.wr_fabric_pkg.all; use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all; use work.endpoint_pkg.all;
use work.streamers_pkg.all; use work.streamers_pkg.all;
......
...@@ -7,7 +7,7 @@ ...@@ -7,7 +7,7 @@
-- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch> -- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch>
-- Company : CERN (BE-CO-HT) -- Company : CERN (BE-CO-HT)
-- Created : 2017-02-16 -- Created : 2017-02-16
-- Last update: 2018-03-20 -- Last update: 2018-06-22
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
...@@ -45,7 +45,6 @@ library work; ...@@ -45,7 +45,6 @@ library work;
use work.gencores_pkg.all; use work.gencores_pkg.all;
use work.wrcore_pkg.all; use work.wrcore_pkg.all;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.etherbone_pkg.all;
use work.wr_fabric_pkg.all; use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all; use work.endpoint_pkg.all;
use work.streamers_pkg.all; use work.streamers_pkg.all;
......
...@@ -7,7 +7,7 @@ ...@@ -7,7 +7,7 @@
-- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch> -- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch>
-- Company : CERN (BE-CO-HT) -- Company : CERN (BE-CO-HT)
-- Created : 2017-02-16 -- Created : 2017-02-16
-- Last update: 2018-06-04 -- Last update: 2018-06-22
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment