1. 10 Nov, 2023 1 commit
    • Andela Kostic's avatar
      Testbench wrc_core now works with Riviera-PRO · 815f56e2
      Andela Kostic authored
      The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
      In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
      To run the simulation with Riviera, use run_riv.do.
      To run the simulation with ModelSim, use run.do.
      815f56e2
  2. 09 Nov, 2023 1 commit
    • Andela Kostic's avatar
      Ensure functionality of wrc_core testbench for wrpc-v5 · 06d5a438
      Andela Kostic authored
      In wrpc-v5, LM32 is replaced by RISC-V. Hence, the new compiled WRPC software
      for the simulation is added (wrc.bram file).
      Also, the size of the RAM used by the WRPC software is increased.
      The testbench sets hdl_testbench structure used for communication with the software.
      The simulation works with ModelSim.
      06d5a438
  3. 18 Sep, 2023 1 commit
  4. 06 Sep, 2023 5 commits
  5. 05 Sep, 2023 1 commit
  6. 21 Jul, 2023 3 commits
  7. 20 Jul, 2023 2 commits
  8. 14 Jun, 2023 1 commit
  9. 12 Jun, 2023 6 commits
  10. 09 Jun, 2023 1 commit
  11. 07 Jun, 2023 5 commits
  12. 05 Jun, 2023 10 commits
  13. 22 May, 2023 1 commit
  14. 17 May, 2023 1 commit
  15. 11 May, 2023 1 commit