1. 09 Apr, 2020 1 commit
    • Maciej Lipinski's avatar
      [CUTE] move oserdes_4_to_1 to board/cute (temporary solution) · c6a8b74d
      Maciej Lipinski authored
      oserdes_4_to_1.vhd is Xilinx-specific and cannot be in modules/
      that include generic modules. This prevented the Altera-based
      design from building. Ultimately, the module needs to be moved
      to some more appropriate place, possibly to
      general-cores/platform/xilinx. To be decided. A temporary solution
      is to have it in board/cute, since it is only used by in the
      xwrc_board_cute.
      c6a8b74d
  2. 08 Apr, 2020 1 commit
  3. 07 Apr, 2020 4 commits
    • Maciej Lipinski's avatar
      [cute/top] added synchronizer bringing pll_aux_locked to clk_pll_125m clock domain · 4e70a456
      Maciej Lipinski authored
      It seems that pll_aux_locked is in clk_sys clock domain. When
      synthesising cute for BTrain I had timing errors in the 10MHz
      generation process. This commit fixes the timing issues.
      4e70a456
    • Maciej Lipinski's avatar
      [wr-streamers] bugfix and added reset of stats after (re-)start and synch · 79f5b749
      Maciej Lipinski authored
      1) bugfix:
         - tm_time_valid_i signal from ref_clk domain was used in sys_clk
           to gate statistics in xrx_streamers_stats.vhd - likely this gating
           was not needed because statistics are done when rcvd_latency_valid_i
           is true and this should be true only when time is valid, anyway
         - added gc_sync_ffs to synchronize tm_time_valid_i to sys_clk
           (added in xrtx_streamers_stats.vhd
      
      2) new feature
         - added initial reset of statistics when the WR node is first
           synchronized after powerup/reset.
         - this is useful so that we have a valid reset timestamp without
           a need for a management tool to reset them. So far, when a device
           was started, the reset timestamp was zero, so without additional
           action of reseting stats, it was not possible to know when the
           stats were started
      79f5b749
    • Maciej Lipinski's avatar
      [wr-streamers] increased sampling period of ts_restore_tai · b5769e38
      Maciej Lipinski authored
      TAI time is sampled from clk_ref to clk_sys domain.
      Apparently, such sampling sometimes takes more than
      the configured 20 periods. Likely, this is not a problem,
      but the updated gc_synchronizer2 now complains. So, I
      increased the sampling time to 30 periods.
      b5769e38
    • Maciej Lipinski's avatar
      [softpll] Fix clk_ext_mul_i input when g_num_exts=0 · 462beb7c
      Maciej Lipinski authored
      When g_num_exts=0, the input of xwr_softpll_ng
      clk_ext_mul_i        : in std_logic_vector(g_num_exts-1 downto 0);
      was of wrong range, i.e. std_logic_vector(-1 to 0).
      
      Function f_nonzero_vector() was added to generate
      std_logic_vector(0 to 0) in the case when g_num_exts=0.
      462beb7c
  4. 03 Apr, 2020 1 commit
  5. 30 Jan, 2020 1 commit
  6. 28 Jan, 2020 5 commits
  7. 20 Jan, 2020 1 commit
  8. 17 Dec, 2019 6 commits
  9. 09 Dec, 2019 1 commit
  10. 12 Nov, 2019 1 commit
  11. 30 Aug, 2019 16 commits
  12. 20 Aug, 2019 1 commit
  13. 13 Aug, 2019 1 commit