• Maciej Lipinski's avatar
    [wr_streamers] added generic to set simulation startup timer value · 359052ac
    Maciej Lipinski authored
    when streamers are used in a simulation of top entity, the startup
    timer is needed, thought it should be appropriate for the simulation
    time. when streamers are simulated alone, the startup timer is
    not needed. the added generic allows to set the timer (i.e. override
    the default value to zero)
    359052ac
Name
Last commit
Last update
..
Manifest.py Loading commit data...
build_wb.sh Loading commit data...
dropping_buffer.vhd Loading commit data...
escape_detector.vhd Loading commit data...
escape_inserter.vhd Loading commit data...
rx_streamer.vhd Loading commit data...
streamers_pkg.vhd Loading commit data...
streamers_priv_pkg.vhd Loading commit data...
tx_streamer.vhd Loading commit data...
wr_streamers_wb.vhd Loading commit data...
wr_streamers_wb.wb Loading commit data...
wr_streamers_wbgen2_pkg.vhd Loading commit data...
wr_transmission_wb.htm Loading commit data...
xrtx_streamers_stats.vhd Loading commit data...
xrx_streamer.vhd Loading commit data...
xrx_streamers_stats.vhd Loading commit data...
xtx_streamer.vhd Loading commit data...
xtx_streamers_stats.vhd Loading commit data...
xwr_streamers.vhd Loading commit data...