Commit 7b0f741e authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

hdl: platform: updated Altera libraries

parent 2f477723
...@@ -43,7 +43,9 @@ entity generic_ssram_dualport_singleclock is ...@@ -43,7 +43,9 @@ entity generic_ssram_dualport_singleclock is
generic ( generic (
g_width : natural := 8; g_width : natural := 8;
g_addr_bits : natural := 10; g_addr_bits : natural := 10;
g_size : natural := 1024); g_size : natural := 1024;
g_init_file : string := "UNUSED");
port port
( (
data_i : in std_logic_vector (g_width-1 downto 0); data_i : in std_logic_vector (g_width-1 downto 0);
...@@ -80,6 +82,8 @@ architecture SYN of generic_ssram_dualport_singleclock is ...@@ -80,6 +82,8 @@ architecture SYN of generic_ssram_dualport_singleclock is
widthad_b : natural; widthad_b : natural;
width_a : natural; width_a : natural;
width_b : natural; width_b : natural;
INIT_FILE : string ;
width_byteena_a : natural width_byteena_a : natural
); );
port ( port (
...@@ -115,7 +119,9 @@ begin ...@@ -115,7 +119,9 @@ begin
widthad_b => g_addr_bits, widthad_b => g_addr_bits,
width_a => g_width, width_a => g_width,
width_b => g_width, width_b => g_width,
width_byteena_a => 1 width_byteena_a => 1,
INIT_FILE => g_init_file
) )
port map ( port map (
wren_a => wr_en_i, wren_a => wr_en_i,
......
...@@ -68,7 +68,8 @@ package platform_specific is ...@@ -68,7 +68,8 @@ package platform_specific is
generic ( generic (
g_width : natural; g_width : natural;
g_addr_bits : natural; g_addr_bits : natural;
g_size : natural); g_size : natural;
g_init_file : string := "UNUSED");
port ( port (
data_i : in std_logic_vector (g_width-1 downto 0); data_i : in std_logic_vector (g_width-1 downto 0);
clk_i : in std_logic; clk_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment