Commit a5a71704 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

scb_18ports: relaxing dmtd clock maxskew constraint

parent 71988a77
...@@ -234,7 +234,7 @@ architecture Behavioral of scb_top_synthesis is ...@@ -234,7 +234,7 @@ architecture Behavioral of scb_top_synthesis is
signal pllout_clk_fb : std_logic; signal pllout_clk_fb : std_logic;
attribute maxskew: string; attribute maxskew: string;
attribute maxskew of clk_dmtd : signal is "0.5ns"; attribute maxskew of clk_dmtd : signal is "1.0ns";
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Component declarations -- Component declarations
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment