Commit a8d8867f authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

rt_subsystem: add generic for low jitter daughterboard support

parent b5489272
...@@ -152,6 +152,7 @@ architecture rtl of wrsw_rt_subsystem is ...@@ -152,6 +152,7 @@ architecture rtl of wrsw_rt_subsystem is
g_num_outputs : integer; g_num_outputs : integer;
g_with_debug_fifo : boolean; g_with_debug_fifo : boolean;
g_with_ext_clock_input : boolean; g_with_ext_clock_input : boolean;
g_with_ext_daughterboard : boolean;
g_divide_input_by_2 : boolean; g_divide_input_by_2 : boolean;
g_reverse_dmtds : boolean; g_reverse_dmtds : boolean;
g_ref_clock_rate : integer; g_ref_clock_rate : integer;
...@@ -342,6 +343,7 @@ begin -- rtl ...@@ -342,6 +343,7 @@ begin -- rtl
g_num_outputs => 1, g_num_outputs => 1,
g_reverse_dmtds => true, g_reverse_dmtds => true,
g_with_ext_clock_input => true, g_with_ext_clock_input => true,
g_with_ext_daughterboard => true,
g_divide_input_by_2 => false, g_divide_input_by_2 => false,
g_with_debug_fifo => true, g_with_debug_fifo => true,
g_ref_clock_rate => 62500000, g_ref_clock_rate => 62500000,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment