Commit cae6f09f authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

remove buffer_type attribute that was duplicated during the v4 merge

parent ce9a70d6
......@@ -176,7 +176,6 @@ architecture Behavioral of scb_top_synthesis is
attribute maxskew: string;
attribute maxskew of clk_dmtd : signal is "0.5ns";
attribute buffer_type : string;
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
......@@ -212,11 +211,6 @@ architecture Behavioral of scb_top_synthesis is
signal clk_gtx12_15 : std_logic;
signal clk_gtx16_19 : std_logic;
attribute buffer_type of clk_dmtd : signal is "BUFG";
attribute buffer_type of clk_ref : signal is "BUFG";
attribute buffer_type of clk_aux : signal is "BUFG";
attribute buffer_type of clk_sys : signal is "BUFG";
signal clk_gtx : std_logic_vector(c_NUM_PHYS-1 downto 0);
signal cpu_nwait_int : std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment