Commit d6adcb9c authored by Maciej Lipinski's avatar Maciej Lipinski

[swcore/mulit_alloc] fixed nasty bug ocuring when memory full

parent 932845fb
......@@ -443,7 +443,7 @@ begin -- syn
for i in 0 to g_num_ports-1 loop
-- input
if(ports(i).grant_ib_d(0) = '1') then
if(ports(i).grant_ib_d(0) = '1' and pg_nomem ='0') then
grant_ib_d0(i) <= '1';
elsif(pg_rsp_vec(i)='1' and (alloc_done(i) ='1' or set_usecnt_done(i) ='1')) then
grant_ib_d0(i) <= '0';
......@@ -558,6 +558,8 @@ begin -- syn
--------------------------------------------------------------------------------------------------
gen_no_RESOURCE_MGR: if (g_with_RESOURCE_MGR = false) generate -- so we don't want resource gnr
set_usecnt_succeeded_o <= (others => '1');
-- res_full_o <= (others => pg_res_full); -- (others => '0');
-- res_almost_full_o <= (others => pg_res_almost_full); -- (others => '0');
res_full_o <= (others => '0');
res_almost_full_o <= (others => '0');
resource_o <= (others => '0');
......
......@@ -143,7 +143,7 @@ end scb_top_bare;
architecture rtl of scb_top_bare is
constant c_GW_VERSION : std_logic_vector(31 downto 0) := x"04_02_14_00"; --DD_MM_YY_VV
constant c_GW_VERSION : std_logic_vector(31 downto 0) := x"20_02_14_00"; --DD_MM_YY_VV
constant c_NUM_WB_SLAVES : integer := 13;
constant c_NUM_PORTS : integer := g_num_ports;
constant c_MAX_PORTS : integer := 18;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment