Commit 9a2e4bdd authored by John Gill's avatar John Gill

Fixed missing files.

parent 0d41a88e
......@@ -160,11 +160,11 @@ begin
I => clkfbout,
O => clkfbout_buf );
clks(0) <= clkout0; -- this is clock buffered later by a bufgmux
-- clk62m5_buf : BUFG
-- port map (
-- I => clkout0,
-- O => clks(0) );
clks(0) <= clkout0;
clk125m_buf : BUFG
port map (
......@@ -180,9 +180,7 @@ begin
port map (
I => clkout3,
O => clks(3) );
locked_o <= locked;
arst <= '1' when locked = '0' else '0';
......
......@@ -28,13 +28,11 @@ synth_design -top ${top} -part ${device} > ${top}_synth.log
write_checkpoint -force ${top}_synth
source wr2rf_async_regs.tcl
source wr2rf_maxdelays.tcl
opt_design -directive Explore -verbose > ${top}_opt.log
write_checkpoint -force ${top}_opt
source wr2rf_maxdelays.tcl
place_design -directive Explore > ${top}_place.log
write_checkpoint -force ${projDir}/${top}_place
......
......@@ -393,11 +393,11 @@ make_diff_pair_ports rf1_t2_clk_p_i rf1_t2_clk_n_i
#make_diff_pair_ports rf2_t1_p_o rf2_t1_n_o
#make_diff_pair_ports rf2_t1_rst_p_o rf2_t1_rst_n_o
#make_diff_pair_ports rf2_t2_clk_p_i rf2_t2_clk_n_i
make_diff_pair_ports rf2_t2_p_o rf2_t2_n_o
make_diff_pair_ports rf2_t2_rst_p_o rf2_t2_rst_n_o
make_diff_pair_ports sfp1_rx_p_i sfp1_rx_n_i
make_diff_pair_ports sfp1_tx_p_o sfp1_tx_n_o
make_diff_pair_ports sfp2_rx_p_i sfp2_rx_n_i
#make_diff_pair_ports rf2_t2_p_o rf2_t2_n_o
#make_diff_pair_ports rf2_t2_rst_p_o rf2_t2_rst_n_o
#make_diff_pair_ports sfp1_rx_p_i sfp1_rx_n_i
#make_diff_pair_ports sfp1_tx_p_o sfp1_tx_n_o
#make_diff_pair_ports sfp2_rx_p_i sfp2_rx_n_i
#make_diff_pair_ports sfp2_tx_p_o sfp2_tx_n_o
set_property OFFCHIP_TERM NONE [get_ports dds_cs_n_o]
set_property OFFCHIP_TERM NONE [get_ports dds_ioupdate_o]
......
......@@ -408,7 +408,8 @@ begin
port map (
O => clk_sys_62m5, -- 1-bit output: Clock output
I0 => clk_dmtd_62m5, -- 1-bit input: Clock input (S=0)
I1 => clk_sys_62m5_in, -- 1-bit input: Clock input (S=1)
-- I1 => clk_sys_62m5_in, -- 1-bit input: Clock input (S=1)
I1 => clk62m5, -- 1-bit input: Clock input (S=1)
S => clk_sys_select ); -- 1-bit input: Clock select
inst_IBUFDS_clk_ext_10m : IBUFDS
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment