Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
W
wr2rf-vme
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
5
Issues
5
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
wr2rf-vme
Commits
9a2e4bdd
Commit
9a2e4bdd
authored
Mar 31, 2020
by
John Gill
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Fixed missing files.
parent
0d41a88e
Hide whitespace changes
Inline
Side-by-side
Showing
4 changed files
with
10 additions
and
13 deletions
+10
-13
wr2rf_sysclks.vhd
hdl/rtl/wr2rf_sysclks.vhd
+2
-4
wr2rf_vme.tcl
hdl/syn/wr2rf_vme.tcl
+1
-3
wr2rf_vme.xdc
hdl/syn/wr2rf_vme.xdc
+5
-5
wr2rf_vme.vhd
hdl/top/wr2rf_vme.vhd
+2
-1
No files found.
hdl/rtl/wr2rf_sysclks.vhd
View file @
9a2e4bdd
...
...
@@ -160,11 +160,11 @@ begin
I
=>
clkfbout
,
O
=>
clkfbout_buf
);
clks
(
0
)
<=
clkout0
;
-- this is clock buffered later by a bufgmux
-- clk62m5_buf : BUFG
-- port map (
-- I => clkout0,
-- O => clks(0) );
clks
(
0
)
<=
clkout0
;
clk125m_buf
:
BUFG
port
map
(
...
...
@@ -180,9 +180,7 @@ begin
port
map
(
I
=>
clkout3
,
O
=>
clks
(
3
)
);
locked_o
<=
locked
;
arst
<=
'1'
when
locked
=
'0'
else
'0'
;
...
...
hdl/syn/wr2rf_vme.tcl
View file @
9a2e4bdd
...
...
@@ -28,13 +28,11 @@ synth_design -top ${top} -part ${device} > ${top}_synth.log
write_checkpoint -force
${top}
_synth
source
wr2rf_async_regs.tcl
source
wr2rf_maxdelays.tcl
opt_design -directive Explore -verbose >
${top}
_opt.log
write_checkpoint -force
${top}
_opt
source
wr2rf_maxdelays.tcl
place_design -directive Explore >
${top}
_place.log
write_checkpoint -force
${projDir}
/$
{
top
}
_place
...
...
hdl/syn/wr2rf_vme.xdc
View file @
9a2e4bdd
...
...
@@ -393,11 +393,11 @@ make_diff_pair_ports rf1_t2_clk_p_i rf1_t2_clk_n_i
#make_diff_pair_ports rf2_t1_p_o rf2_t1_n_o
#make_diff_pair_ports rf2_t1_rst_p_o rf2_t1_rst_n_o
#make_diff_pair_ports rf2_t2_clk_p_i rf2_t2_clk_n_i
make_diff_pair_ports rf2_t2_p_o rf2_t2_n_o
make_diff_pair_ports rf2_t2_rst_p_o rf2_t2_rst_n_o
make_diff_pair_ports sfp1_rx_p_i sfp1_rx_n_i
make_diff_pair_ports sfp1_tx_p_o sfp1_tx_n_o
make_diff_pair_ports sfp2_rx_p_i sfp2_rx_n_i
#
make_diff_pair_ports rf2_t2_p_o rf2_t2_n_o
#
make_diff_pair_ports rf2_t2_rst_p_o rf2_t2_rst_n_o
#
make_diff_pair_ports sfp1_rx_p_i sfp1_rx_n_i
#
make_diff_pair_ports sfp1_tx_p_o sfp1_tx_n_o
#
make_diff_pair_ports sfp2_rx_p_i sfp2_rx_n_i
#make_diff_pair_ports sfp2_tx_p_o sfp2_tx_n_o
set_property OFFCHIP_TERM NONE [get_ports dds_cs_n_o]
set_property OFFCHIP_TERM NONE [get_ports dds_ioupdate_o]
...
...
hdl/top/wr2rf_vme.vhd
View file @
9a2e4bdd
...
...
@@ -408,7 +408,8 @@ begin
port
map
(
O
=>
clk_sys_62m5
,
-- 1-bit output: Clock output
I0
=>
clk_dmtd_62m5
,
-- 1-bit input: Clock input (S=0)
I1
=>
clk_sys_62m5_in
,
-- 1-bit input: Clock input (S=1)
-- I1 => clk_sys_62m5_in, -- 1-bit input: Clock input (S=1)
I1
=>
clk62m5
,
-- 1-bit input: Clock input (S=1)
S
=>
clk_sys_select
);
-- 1-bit input: Clock select
inst_IBUFDS_clk_ext_10m
:
IBUFDS
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment