Commit b20eb1aa authored by Lucas Russo's avatar Lucas Russo

wb_fmc{130,250,516}: fix input trigger synchronization

The module gc_ext_sync_pulse requires the generic
g_min_pulse_width to be at least the number of "ns"
of one input clock cycle. Otherwise, it may not
function properly, loosing input signals.
parent daa18772
...@@ -1427,8 +1427,8 @@ begin ...@@ -1427,8 +1427,8 @@ begin
-- External hardware trigger synchronization -- External hardware trigger synchronization
cmp_trig_sync : gc_ext_pulse_sync cmp_trig_sync : gc_ext_pulse_sync
generic map( generic map(
g_min_pulse_width => 1, -- clk_i ticks -- minimum pulse in ns to accept input (must be >1 clk_i ns)
--g_clk_frequency => 1/g_adc_clk_period_values(g_ref_clk), -- MHz g_min_pulse_width => 16,
g_clk_frequency => 130, -- MHz g_clk_frequency => 130, -- MHz
g_output_polarity => '0', -- positive pulse g_output_polarity => '0', -- positive pulse
g_output_retrig => false, g_output_retrig => false,
......
...@@ -1539,8 +1539,8 @@ begin ...@@ -1539,8 +1539,8 @@ begin
-- External hardware trigger synchronization -- External hardware trigger synchronization
cmp_trig_sync : gc_ext_pulse_sync cmp_trig_sync : gc_ext_pulse_sync
generic map( generic map(
g_min_pulse_width => 1, -- clk_i ticks -- minimum pulse in ns to accept input (must be >1 clk_i ns)
--g_clk_frequency => 1/g_adc_clk_period_values(g_ref_clk), -- MHz g_min_pulse_width => 8,
g_clk_frequency => 250, -- MHz g_clk_frequency => 250, -- MHz
g_output_polarity => '0', -- positive pulse g_output_polarity => '0', -- positive pulse
g_output_retrig => false, g_output_retrig => false,
......
...@@ -1485,7 +1485,7 @@ begin ...@@ -1485,7 +1485,7 @@ begin
-- Trigger buffers and Synchronization -- Trigger buffers and Synchronization
cmp_ext_trig_ibufds : ibufds cmp_ext_trig_ibufds : ibufds
generic map( generic map(
IOSTANDARD => "LVDS_25", IOSTANDARD => "LVDS_25",
DIFF_TERM => TRUE DIFF_TERM => TRUE
) )
port map ( port map (
...@@ -1497,8 +1497,9 @@ begin ...@@ -1497,8 +1497,9 @@ begin
-- External hardware trigger synchronization -- External hardware trigger synchronization
cmp_trig_sync : gc_ext_pulse_sync cmp_trig_sync : gc_ext_pulse_sync
generic map( generic map(
g_min_pulse_width => 1, -- clk_i ticks -- minimum pulse in ns to accept input (must be >1 clk_i ns)
g_clk_frequency => 100, -- MHz g_min_pulse_width => 8,
g_clk_frequency => 250, -- MHz
g_output_polarity => '0', -- positive pulse g_output_polarity => '0', -- positive pulse
g_output_retrig => false, g_output_retrig => false,
g_output_length => 1 -- clk_i tick g_output_length => 1 -- clk_i tick
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment